HDLBits_Fsm serial刷题记录

首先,这个题的主要思路是计数器加状态机。

 

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output done
); 
	parameter IDLE = 3'd0, START = 3'd1, DATA = 3'd2, STOP = 3'd3, ERROR = 3'd4;
    reg[3:0]STATE, NEXT_STATE;
    reg[3:0]COUNT1;
    always @ (*) begin
        case(STATE)
            IDLE:NEXT_STATE = in ? IDLE : START;
            START:NEXT_STATE = DATA;
            DATA: NEXT_STATE = (COUNT1 == 4'd7)? (in ? STOP : ERROR) : DATA;
            STOP: NEXT_STATE = in ? IDLE : START;
            ERROR: NEXT_STATE = in ? IDLE : ERROR;
            default: NEXT_STATE = IDLE;
        endcase
    end
    always @ (posedge clk)begin
        if(reset)begin
            STATE <= IDLE;
        end
        else begin
            STATE <= NEXT_STATE;
        end
    end
    always @ (posedge clk)begin
        if(reset)begin
            COUNT1 <= 4'd0;
        end
        else if(COUNT1 == 4'd7)begin
            COUNT1 <= 4'd0;
        end
        else if(STATE == DATA)begin
            COUNT1 <= COUNT1 + 1'b1;
        end
    end
    assign done = (STATE == STOP);
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值