HDLBits_Fsm serialdp

相对于上一道题进行了修修改改,一直有10个mismatch,调整了一下状态机,最后终于成功啦。

以下是成功的代码

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output [7:0] out_byte,
    output done
); //


	parameter IDLE = 4'd0, START = 4'd1, DATA = 4'd2, STOP = 4'd3, WAIT = 4'd4, PARITY = 4'd5;
    reg[2:0] STATE, NEXT_STATE;
    reg[2:0]counter1;
    reg[7:0]out;
    reg par;
    // Use FSM from Fsm_serial
    //FSM PART
    always @ (*)begin
        case(STATE)
            IDLE:NEXT_STATE = in ? IDLE : START;
            START:NEXT_STATE = DATA;
            DATA:NEXT_STATE = (counter1 == 3'd7) ?  PARITY :  DATA;
            PARITY:NEXT_STATE = in ? (par ? STOP : IDLE) : WAIT;
            STOP:NEXT_STATE = in ? IDLE : START;
            WAIT:NEXT_STATE = in ? IDLE : WAIT;
            default:NEXT_STATE = IDLE;
        endcase
    end
  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值