在Windows 10下配置和使用Icarus Verilog (iverilog)和GTKWave

1.安装

首先,准备好iverilog的安装包,可以在以下链接下载。

http://bleyer.org/icarus/

2.配置环境变量

这是为了在windows命令行界面的所有位置都能调用已经下载好的iverilog.exe和gtkwave.exe。

(1)找到修改环境变量的地方

 右键单击桌面的计算机图标 -> 属性 ->高级系统设置 -> 环境变量 -> 在系统变量方框下找到Path变量 -> 编辑它

(2)把应用程序iverilog.exe和gtkwave.exe的位置都编辑到Path变量下,点击确定,如

(3)重启电脑(一定要做,否则环境变量不会改变)

这样就能够在命令行下使用iverilog和gtkwave了,用法和Linux下的完全一样。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值