题目:让led灯按照指定的亮灭模式亮灭,亮灭模式未知,由用户随机指定。8个变化状态为一个周期,每个变化状态的时间值可以根据不同的应用场景选择
代码:
`timescale 1ns / 1ps
module cnt_led_4(
clk ,
rst_n,
ctrl,
TIME,
led
);
input clk;
input rst_n;
input [7:0] ctrl;
input [31:0] TIME;
output reg led;
parameter MCNT=100_000_000;
reg [31:0] cnt0;
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
cnt0<=0;
else if(cnt0==TIME-1)
cnt0<=0;
else
cnt0 <= cnt0 +1;
end
reg [2:0] cnt1;
always @(posedge clk or negedge rst_n)begin
if(!rst_n)
cnt1<=0;
else if(cnt0==TIME-1)
cnt1<=cnt1+1;
end
always @(posedge clk or negedge rst_n) begin