计算机组成原理实验;16位运算器ALU CPU设计

这个实验我觉得是最困难的一个实验了,主要是VHDL语言不会,需要自学。所以如果你有时间,一定要去自己学一学受益匪浅,不会的问问老师或者去咸鱼问一问,我一般技术不会了就去咸鱼问一问,闻道有先后术业有专攻么。实验报告,开发板的操作等等我就不多说了。自己去看任务书或者指导书很好理解,最难的就是vhdl的代码所以我列举一下代码。代码基本都是祖传的(感谢我的学长!),但是都是我修改过的,有我写的注释!仔细看不难懂的。

代码我打包好了,分为代码和相关的芯片引脚文件。都是免费的,有帮助的话帮老学长点个赞吧。

主要内容

16位运算器设计实验

    1. VHDL语言实现一个简单的16ALU
  1. 通过实现一个状态机,根据状态机状态的变化来输入操作数及操作码,并最终实现不同的运算,将结果和标志位呈现出来。
  2. 实验中ALU要求实现基本的算术运算、逻辑运算、移位运算等。合理设置每条指令的标志位,包括进位标志、溢出标志、最高位和全零标志。

存储器实验

使用教学计算机上的FPGA芯片,设计一个状态机和内存读写逻辑,完成对存储器RAM的访问。

    1. 具体要求:
  1. 写RAM1。将手拨开关上的数据,写入到RAM1的相应存储单元中,在LED灯上分别显示地址和数据。
  2. 读RAM1。给定地址,能将数据从相应存储单元中读出,送到LED上显示。16位CPU设计实验

 16位CPU设计实验

实现一个基于MIPS指令集的CPU,数据总线16位,地址总线16位,具有8个16位的通用寄存器。指令包括R型指令(如ADDU,SUBU,NOT,OR等),I型指令(如LW,SW等),B型指令(如BEQZ,BNEZ等)和J型指令传送指令(如JR,JALR等),具体指令见实验指导书P22-P32。

  • 17
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值