数字IC笔试题 ——汇顶设计验证2018

转:https://blog.csdn.net/bleauchat/article/details/91387188

 

在逻辑综合时,一般有4中path group:

Input to reg :输入端口到寄存器,通过set_input_delay设置输入端口外的延时,来约束组合逻辑延时

Tclk – T1 – Tinput_delay – Tsetup > 0

 

我们设置 Tclk和Tinput_delay,从.lib/.db库里面读入Tsetup,工具就会约束优化T1组合逻辑

 

Reg to reg :寄存器到寄存器,通过create_clock,约束为时钟周期

Tclk - Tcq - T2 - Tsetup> 0;

 

Reg to output

我们设置 Tclk和Toutput_delay,从.lib/.db库里面读入Tcq,工具就会约束优化T3组合逻辑

Tclk - Tcq - T3 - Toutput_delay > 0;

 

Input to output;

我们设置Tinput_delay + Toutput_delay,工具就会约束优化T3组合逻辑

Tclk - Tinput_delay -T3 - Tout_delay > 0;

也可以直接设置 set_max_delay

 

 

 

 

 

 

 

 

  • 3
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值