自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(35)
  • 收藏
  • 关注

转载 modelsim修改波形窗口字体

转:https://blog.csdn.net/ollehworld/article/details/80851950

2020-02-14 15:57:34 1187

转载 数字IC设计工程师笔试面试经典100题

原 数字IC设计工程师笔试面试经典100题 ...

2019-07-06 21:08:47 2026

转载 2019届华为秋招数字芯片面试经验

转:https://blog.csdn.net/weixin_43343190/article/details/84725721 2019届秋...

2019-07-06 21:02:43 2134

转载 数字IC笔试题 ——Nvidia前端设计2018

转:https://blog.csdn.net/bleauchat/article/details/91397848  4、 Asyncfifo design using Verilogmodule asyn_fifo( input wclk , input rst_n , input wr_en , inp...

2019-07-06 20:57:12 1026

转载 数字IC笔试题 ——Cadence前端设计2018

转:https://blog.csdn.net/bleauchat/article/details/91397167 bit可以用于合并数组的定义,合并数组的存储都是连续的,所以只能定义bit类型;     ...

2019-07-06 20:51:34 1260

转载 数字IC笔试题 ——复旦微2017

转:https://blog.csdn.net/bleauchat/article/details/91395713

2019-07-06 20:50:30 2724

转载 数字IC笔试题 ——汇顶设计验证2018

 在逻辑综合时,一般有4中path group:Input to reg :输入端口到寄存器,通过set_input_delay设置输入端口外的延时,来约束组合逻辑延时Tclk – T1 – Tinput_delay – Tsetup > 0 我们设置 Tclk和Tinput_delay,从.lib/.db库里...

2019-07-06 20:45:21 2466

转载 寒武纪2019秋招SOC岗笔试

转:https://blog.csdn.net/bleauchat/article/details/91487435module Syn_DFF(clk, rst_n,Din,Dout);input clk,rst_n,Din;output Dout;reg Dout;always@(posedge clk) begin if(...

2019-07-06 20:33:20 658

转载 数字IC笔试题 ——全志科技数字前端

转:https://blog.csdn.net/bleauchat/article/details/91383913DB、施密特触发C、方波列出所有情况A[3:0] = 4’b0000 ~ 4’b1111即可rst_n为异步复位,然后在每个clk上升沿进行触发器状态更新时钟路径:0.8ns数据路径:1+1.2+2.2=4.4ns 时钟周期T=...

2019-07-06 20:27:03 1471

转载 乐鑫科技数字芯片2017

1.setup time、hold time含义,并说明setup time和hold time会出现负值的原因setup time是指在触发器的时钟信号触发之前,数据需要稳定不变的时间hold time是指在触发器的时钟信号触发之后,数据需要稳定不变的时间在考虑时钟skew的情况下,setup time和holdtime需要满足:T + Tskew >T...

2019-07-06 20:18:11 571

转载 异步fifo的设计(FPGA)

本文首先对异步 FIFO 设计的重点难点进行分析一、FIFO简单讲解FIFO的本质是RAM, 先进先出重要参数:fifo深度(简单来说就是需要存多少个数据) fifo位宽(每个数据的位宽)FIFO有同步和异步两种,同步即读写时钟相同,异步即读写时钟不相同同步FIFO用的少,可以作为数据缓存异步FIFO可以解决跨时钟域的问题,在应用时需根据实际情...

2019-06-23 15:23:57 686

转载 德国交通标志训练集的数据预处理

网址:http://benchmark.ini.rub.de下载好的数据集文件是.ppm格式。需要将其转成.png格式。每个文件夹下有.csv文件(Comma-Separated Values,标记)里面有ROI区域的坐标,我们需要根据这个ROI区域的坐标,来分割出ROI区域,并另存为后缀为.png的格式。写了数据转换脚本是transform.py。再看文...

2019-05-13 11:01:31 3060 3

转载 Roofline Model与深度学习模型的性能分析

转载知乎:https://zhuanlan.zhihu.com/p/34204282讲的非常好,通俗易懂。最近在不同的计算平台上验证几种经典深度学习模型的训练和预测性能时,经常遇到模型的实际测试性能表现和自己计算出的复杂度并不完全吻合的现象,令人十分困惑。机缘巧合听了Momenta的技术分享后,我意识到问题的答案其实就在于 Roof-line Model 这个理论,于是认真研究了一下相关论文。...

2019-01-09 21:56:59 934 1

转载 卷积神经网络 1*1 卷积核

卷积神经网络中卷积核的作用是提取图像更高维的特征,一个卷积核代表一种特征提取方式,对应产生一个特征图,卷积核的尺寸对应感受野的大小。经典的卷积示意图如下: 5*5的图像使用3*3的卷积核进行卷积,结果产生3*3(5-3+1)的特征图像。卷积核的大小一般是(2n+1)*(2n+1)的奇数乘奇数大小(n>=1),最常用的有3*3,5*5,7*7的。之所有使用奇数*奇数而不是偶数*偶...

2018-12-13 10:44:59 434

原创 利用python转换图片的像素

import globimport osfrom PIL import Imageimg_path = glob.glob("./*.jpg")path_save = "./"for file in img_path: name = os.path.join(path_save, file) im = Image.open(file) # im.thumbnai...

2018-12-13 09:24:11 4216 1

翻译 建造第一个神经网络

 定义 add_layer()在 Tensorflow 里定义一个添加层的函数可以很容易的添加神经层,为之后的添加省下不少时间.神经层里常见的参数通常有weights、biases和激励函数。def add_layer(inputs, in_size, out_size, activation_function=None): Weights = tf.Variable(t...

2018-12-06 22:46:45 188

翻译 TesorFlow基础

求y=0.1x+03函数系数。import tensorflow as tfimport numpy as npx_data=np.random.rand(100).astype(np.float32)y_data=x_data*0.1+0.3W=tf.Variable(tf.random_uniform([1],-1.0,1.0))b=tf.Variable(tf.zeros...

2018-12-06 22:12:52 242

翻译 遗传算法(GA)

找一个好的fitness方程 所有的遗传算法 (Genetic Algorithm), 后面都简称 GA, 我们都需要一个评估好坏的方程, 这个方程通常被称为 fitness. 在今天的问题中, 我们找到下面这个曲线(y=sin(10*x)*x + cos(2*x)*x )当中的最高点. 那么这个 fitness 方程就很好定, 越高的点, fitness 越高.如果这个曲线上任一点的...

2018-12-06 19:03:33 572

转载 强化学习之Q_lerning实现。

强化学习之Q_lerning:Q-Learning算法学习Q-Learning算法下,目标是达到目标状态(Goal State)并获取最高收益,一旦到达目标状态,最终收益保持不变。因此,目标状态又称之为吸收态。Q-Learning算法下的agent,不知道整体的环境,知道当前状态下可以选择哪些动作。通常,我们需要构建一个即时奖励矩阵R,用于表示从状态s到下一个状态s’的动作奖励...

2018-11-21 10:26:57 652

转载 Q - learning 的具体过程

看了莫烦的机器学习方法简介,了解到Q_Learning的机器学习方法,这是我找到的一个通俗易懂的讲解,转至https://blog.csdn.net/itplus/article/details/9361915 

2018-11-20 09:30:28 293

转载 用于说明卷积神经网络(ConvNet)的Python脚本

借鉴:https://github.com/gwding/draw_convnet直接上代码:import osimport numpy as npimport matplotlib.pyplot as pltplt.rcdefaults()from matplotlib.lines import Line2Dfrom matplotlib.patches import Rec...

2018-11-15 20:36:01 1017 2

原创 python学习笔记

深度学习中的epochs,batch_size,iterations详解当一个完整的数据集通过了神经网络一次并且返回了一次,这个过程称为一个 epoch。在不能将数据一次性通过神经网络的时候,就需要将数据集分成几个 batch。iterations是 batch 需要完成一个 epoch 的次数 训练集有1000个样本,batchsize=10,那么:训练完整个样本集需要:...

2018-11-15 10:09:21 335 1

原创 Training Neural Networks with Weights and Activations Constrained to +1 or -1论文阅读

确定性与随机二值化决定式的二值化:随机式的二值化:第二种方法虽然看起来比第一种更合理,但是在实现时却有一个问题,那就是每次生成随机数会非常耗时,所以一般使用第一种方法。梯度计算与累积(梯度计算与累加)虽然BNN的参数和各层的激活值是二值化的,但梯度不得不用较高精度的实数而不是二值进行存储。通过离散化传播梯度(离散化梯度传播)如何对权和激活进行二值化。如下图左,二...

2018-11-13 21:52:32 495

原创 基于HLS的sobelIP实现--转载我之前的blog的内容

以个人的理解,赛灵思将HLS(高层次综合)定位于更方便的将复杂算法转化为硬件语言,通过添加某些配置条件HLS工具可以把可并行化的C / C ++的代码转化为VHDL或Verilog的,相比于纯人工使用vhdl实现图像算法,该工具综合出的代码的硬件资源占用可能较多,但并没有相差太大。但是却能提高我们的效率,缩短开发周期。下面开始介绍我实现的一个sobel检测,可以把这个模块换成其它的各个加速算法,S...

2018-11-07 16:55:00 2023 1

原创 基于HLS实现FIR滤波器--转载我之前的blog的内容

 受人之拖要做个HLS的高级综合,找了半天,一开始打算做一个FFT,但是一同操作下来,发现难度太大了,回来做一个简单的硬件的矩阵相乘,发现难度太低,老师不答应做,于是挑了一个FIR滤波器,于是有开始拾起本科时学的滤波器原理,发现好多都忘记了因此接来下就做一个FIR滤波器,下面是我的任务书:设计(论文)题目:基于HLS实现FIR滤波器。设计(论文)的主要内容1)查找并学习资料,重点是...

2018-11-07 16:53:53 670

原创 多精度频率计--转载我之前的blog的内容

这个周末闲来无事,想起本科参加电子设计大赛做的题目就是频率计,连续两年都是这方面的题目,最后在大神的带领下,我也混个二等奖回家,现在回想起来那段暑假留在学校参加比赛,连续熬个几夜的经历真的十分宝贵,令人珍惜,队友的心心相惜着实难忘。记得当时我们的数据结果不是很好,好像最后只做到了20多中号频率,可惜单片机的主频有限。当时檀老师就提出来让我用FPGA做,无赖当时可能对自己不够自信,所以没有实现,当时...

2018-11-07 16:53:16 410

原创 VIVADO和SDK联合调试--转载我之前的blog的内容

哈哈哈,终于在组会前一天把调试搞定了,本来还愁汇报什么呢?经过我几天来不吃不喝(当然健身房还是不能落下的,hhh),总算把zynq的软硬件调试搞通了,但是这些仅仅是对付一些一般复杂性的系统,当系统变得庞大时,可能里面需要更多的调试技巧,但是掌握zynq的调试技巧对zynq的开发来说,重要性不言而喻。废话不多说,下面进入正题:一、这是该系统的Top框图。二、调试模型图1,因为GP...

2018-11-07 16:52:43 3050 1

原创 axi_gpio操作--转载我之前的blog的内容

两个星期没有更新了,入手板子一段时间以来发现难度还是挺大的,但是心急吃不了热豆腐,所以打算还是从基础的一步一步来,实现对GPIO操作。1,ZYNQ7000GPIO介绍ZYNQ7000上GPIO分为2类MIO和EMIO,MIO和常见的CPU管脚功能是一样的和很多外设是复用的,在使用前需要进行PIN Assignment.MIO共54个管脚分布在BANK0和BANK1 ,BANK1有32个,B...

2018-11-07 16:52:03 1897

原创 自定义IP--转载我之前的blog的内容

1,Xilinx官方为大家提供了很多IP核,用ZYNQ系统设计IP核,最常用的就是使用AXI总线将PS同PL部分的IP核连接起来。接口是Slave,数据宽度是32位,IP内部的寄存器数量为4个。这样就可以生成一个AXI读写协议的Verilog代码,主要内容就是对4的寄存器进行读写。然后在里面对ip端口的数据进行通信。哪些寄存器配置为只读,只写,可读写模式。把一些PL端与外部连接的引...

2018-11-07 16:51:37 384

原创 初窥Vivado HLS--转载我之前的blog的内容

本周刚刚打算入手一个ZYNQ的开发板,想体验一下赛灵思的最新科技ARM + FPGA架构,于是开始接触一下vivado的高级综合令人兴奋!下面开始介绍!1,Vivado的HLS高级综合。我是在电子发烧友看到这边文章:http://www.elecfans.com/emb/fpga/20171118581798_a.html设计人员使用赛灵思级高层次综合工具,能以类似软件的方式用高级编程结...

2018-11-07 16:43:45 386 1

原创 基于FPGA的快速中值滤波算法--转载我之前的blog的内容

在实时图像采集中,不可避免的会引入噪声,尤其是干扰噪声和椒盐噪声,噪声的存在严重影响边缘检测的效果,中值滤波是一种基于排序统计理论的非线性平滑计数,能有效平滑噪声,且能有效保护图像的边缘信息,所以被广泛用于数字图像处理的边缘提取,其基本原理是把数字图像或数字序列中的一点的值用该点邻域内所有点的中值来代替。中值滤波对脉冲噪声有良好的滤除作用,特别是在滤除噪声的同时,能够保护信号的边缘,使之不被模糊...

2018-11-07 16:30:27 5218 1

原创 Fashion_minist的图像格式操作

数据集的地址是:https://github.com/zalandoresearch/fashion-mnist/blob/master/README.zh-CN.md如果我们想把训练集的二进制文件转化为图片,需要自己编写py代码,如下:import structimport numpy as npimport scipy.miscimport osfrom PIL impo...

2018-11-07 09:50:20 1329

原创 Embedded and Reconfigurable Systems M之HLS

 一开始我们从简单的开始,设计一个计数器,如下框图:很简单,波形如下:(eg:RANGE = 3)contatore.h #include "ap_int.h"typedef ap_uint<1> bit;#define RANGE 10void contatore_no_io(volatile bit *led_output);必须包含头文件,...

2018-11-06 20:28:14 273

转载 Vivado HLS 程序优化

 前言(本文基于赛灵思官方HLS文档UG871中的7.1节):          在使用高层次综合,创造高质量的RTL设计时,一个重要部分就是对C代码进行优化。      &

2018-11-06 18:53:00 1645

原创 Lattice_AI

 在圣克拉拉举行的2018年嵌入式视觉峰会上,莱迪思半导体将推出sensAI,这是最新的基于FPGA的平台和生态系统,旨在为各种应用提供人工智能,包括移动,智能家居,智能城市,智能工厂和智能车。莱迪思表示,sensAI是一个“完整的技术堆栈”,它结合了模块化硬件套件,神经网络IP核,软件工具,参考设计和定制设计服务 - 所有这些都旨在加速物联网边缘设备机器学习推理的开发。灵活的推理解决方案针对...

2018-11-05 10:21:40 430 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除