VIVADO和SDK联合调试--转载我之前的blog的内容

哈哈哈,终于在组会前一天把调试搞定了,本来还愁汇报什么呢?经过我几天来不吃不喝(当然健身房还是不能落下的,hhh),总算把zynq的软硬件调试搞通了,但是这些仅仅是对付一些一般复杂性的系统,当系统变得庞大时,可能里面需要更多的调试技巧,但是掌握zynq的调试技巧对zynq的开发来说,重要性不言而喻。废话不多说,下面进入正题:

一、这是该系统的Top框图。

二、调试模型图

1,因为GPIO_LED是8位信号,如果只分配了4位,还有4位没有用到,编译会报错,所以要加入约束条件: 当未分配引脚报错时,加入以下的约束条件来生成bitstream。

set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
set_property SEVERITY {Warning} [get_drc_checks UCIO-1]	

2,对MATH的reg0和reg1进行赋值,这是arm通过AXI总线来执行写操作。所以我们设置W_VAILD为触发信号。

如图:

  • 2
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值