Verilog 实现数码管闪烁显示

实现数码管闪烁显示不是简简单单地和一个2hz的闪烁信号相与,我在这里用了使能端的思想,通过把一个闪烁信号传递到使能端,来使数码管闪烁。
使能端可以做很多事的

module segflitter	(clk,segled,cat,enable);
	input enable;
	input clk;
	output reg [7:0] cat;
	output reg [6:0] segled;//段选7duan
	
reg [2:0] pianxuan;//4个
reg [6:0]seg[9:0];

initial             //共阴极     
	
		begin
			 seg[0] = 7'b1111110; 
			 seg[1] = 7'b0110000; 
			 seg[
  • 2
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
Verilog中,可以使用数码管实现闪烁效果。根据引用和引用,数码管闪烁实现主要包括以下几个步骤: 1. 定义数码管的中间变量:在代码中定义一个reg类型的数组seg和seg1,用于存储数码管的输出值。 2. 进行初始化:在代码中使用initial块进行初始化操作,对数码管的输出和一些基本状态进行初始赋值。通过对seg和seg1数组的赋值,确定数码管每个数字的编码。 3. 定义闪烁的方向和速度:在代码中定义reg类型的变量direction和counter0、counter1,分别用于表示闪烁的方向和闪烁计数器。direction变量用于控制闪烁的方向,counter0和counter1变量用于调节闪烁的速度。 4. 实现闪烁效果:根据闪烁的方向和计数器的值,通过组合逻辑或时序逻辑,控制数码管的输出值seg和seg1,实现闪烁的效果。 由于缺少具体的Verilog代码,无法给出具体的实现细节。但是根据引用和引用的描述,可以根据这些信息进行Verilog数码管闪烁实现。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Verilog HDL.rar](https://download.csdn.net/download/weixin_43443846/11223152)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [verilog实现彩灯闪烁,变速,自定义颜色等功能](https://blog.csdn.net/qq_66826153/article/details/131028886)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值