流水灯2

工具:vivado2015.2  板子:ZedBoard
module stream(
    input clk,
    input reset,
    output [7:0] led
    );
    reg [31:0]count;
    reg [3:0] num;
    reg [7:0]led;

    parameter[31:0] delay=32'd100000000; //delay 1 sec

   
    always@(posedge clk or posedge reset)
    begin
    //clear
    if(reset)
    begin
    num<=0;
    count<=0;
   
    end
    else if(count==delay)
    begin
    num<=num+1'b1;
    count<=0 ;
    end
  
    else if(num==8)
    begin
    num<=0;
    end
 
    else
    count<=count+1'b1;
    end
   
    always@(*)
    begin
    case(num)
      0:led<=8'b00000001;
      1:led<=8'b00000010;
      2:led<=8'b00000100;
      3:led<=8'b00001000;
      4:led<=8'b0001_0000;
      5:led<=8'b0010_0000;
      6:led<=8'b0100_0000;
      7:led<=8'b1000_0000;
      default led<=8'b0000_0000;
      endcase
   
    end
   
endmodule
管脚约束文件:
set_property  -dict {PACKAGE_PIN F22  IOSTANDARD LVCMOS25  PULLTYPE PULLUP} [get_ports reset]         ;
set_property  -dict {PACKAGE_PIN  U14  IOSTANDARD LVCMOS25} [get_ports led[7]]                          ;
set_property  -dict {PACKAGE_PIN  U19  IOSTANDARD LVCMOS25} [get_ports led[6]]    ;
set_property  -dict {PACKAGE_PIN  W22  IOSTANDARD LVCMOS25} [get_ports led[5]]  ;
set_property  -dict {PACKAGE_PIN  V22  IOSTANDARD LVCMOS25} [get_ports led[4]]  ;
set_property  -dict {PACKAGE_PIN  U21  IOSTANDARD LVCMOS25} [get_ports led[3]]  ;
set_property  -dict {PACKAGE_PIN  U22  IOSTANDARD LVCMOS25} [get_ports led[2]]  ;
set_property  -dict {PACKAGE_PIN  T21  IOSTANDARD LVCMOS25} [get_ports led[1]]  ;
set_property  -dict {PACKAGE_PIN  T22  IOSTANDARD LVCMOS25} [get_ports led[0]]  ;
set_property  -dict {PACKAGE_PIN  Y9  IOSTANDARD LVCMOS25} [get_ports clk]  ;
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值