verilog parameter localparam define使用

1.define,是宏定义,全局有效。则在整个工程都是有效
2.parameter,参数,可以由调用者修改参数值。在定义模块和例化的时候有一定的差别,在定义模块时:模块名在参数的前面;例化模块时:参数在实例化名的前面

简单举例:

定义模块

module clkgen
#(
 parameter COUNT=4'd15
)

(
 input        clk,
 output      clk_en

);
reg [3:0] cnt=4'd0;

always@(posedge clk)
begin
 if(cnt<COUNT)
  cnt<=cnt+1'b1;
 else
  cnt<=4'd0;
end

assign clk_en=(cnt==COUNT)?1'b1:1'b0;

endmodule

例化时:
`timescale 1ns/1ns

module clkgen_tb;

reg clk;
wire clk_en;

clkgen
#(
 .COUNT(15)
)
u_clkgen
(
 .clk(clk),
 .clk_en(clk_en)

);

initial
begin
 clk=0;
end

always #5 clk=~clk;

endmodule


3.localparam,本地参数,调用者不可修改。


  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值