Vivado2021.2简化开发流程(自用)

Vivado2021.2简化开发流程(自用)

步骤过多,自己记录以备后面使用
步骤来自于视频小梅哥02A 通用的FPGA开发流程介绍_哔哩哔哩_bilibili
设计定义(让LED一秒闪烁一次)
设计输入(编写逻辑(使用Verilog代码描述逻辑),画逻辑图,使用IP)
综合工具(由专业的EDA软件进行仿真,Quartus、Vivado、ISE),对所写的逻辑描述内容进行分析,并得到逻辑门级别的电路内容。
功能仿真(使用专门的仿真工具进行仿真,验证设计的逻辑功能能够实现)
对于数字电路来说,仿真是基本接近于真实情况的,是可信的。Modelsim
布局布线(在指定器件上将设计的逻辑电路实现)
分析性能
1、时序仿真(非常耗费时间)
2、静态时序分析(实际使用)
下载到目标板上,查看运行结果。
让程序在目标上正常工作(功能正常、性能稳定)
板级调试

创建项目

在这里插入图片描述
起项目名
在这里插入图片描述
选择项目类型
在这里插入图片描述
选择板子类型,不跑板子的话可以选择xc7z007sclg225-2好创建一点,之前随便选的第一个创建了很久都没创建好

编写开发逻辑

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

分析综合

点第一个(第二个是布局布线)
在这里插入图片描述
或者在Flow下面选也行
在这里插入图片描述
在这里插入图片描述

创建仿真平台test bench

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

仿真,点第一个第二个都可以
在这里插入图片描述

布局布线

在这里插入图片描述

生成开发板文件

在这里插入图片描述

时序仿真

在这里插入图片描述

板机调试

编辑开发引脚
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
编辑完ctrl+s保存
保存引脚文件
一般和工程名称一致
在这里插入图片描述
创建Bitstream
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值