PCIE高速传输解决方案FPGA技术XILINX官方XDMA驱动

PCIE高速传输解决方案FPGA技术XILINX官方XDMA驱动

明德扬在PCIE高速传输方案积累了丰富的技术,传输的带宽利用率可达到90%以上,延迟可达到理论的最低延迟值。

明德扬能够根据客户的需求(需求、延迟和应用等),为客户提供定制的PCIE解决方案,欢迎您与我们联系,沟通洽谈。

下面是我司为客户定制的方案介绍,该方案已经应用到航天航空、雷达等领域,经受住客户和市场的检验。

一、 高效率传输方案

该采集方案 Demo 基于 VC709 开发板,使用 XILINX 官方 XDMA IP 核配合板载高速 DDR3,可对前端 ADC 产生的不大于 4.5GB/s 的连续或非连续数据进行实时采集,同时该采集卡具备数据发送功能,可以将用户文件或者内存中的数据写到 FPGA 的发送 FIFO 中,速率约为4.5GB/s,该采集卡具备上位机读写 FPGA 用户寄存器的功能,读写接口为 local bus 接口,方便易用。

1. 系统结构图在这里插入图片描述

  1. 采用此方案的某项目性能
  1. 支持 4 通道 AD 数据同时上行,支持 4 通道 DA 数据同时下行;

  2. PCIE 链路为 8x Gen3 时, 数据传输带宽大于 4GB/s,误码率低于 10-14;

  3. 使用最新 XILINX 官方 XDMA 驱动,稳定可靠;

  4. 支持 16 路用户中断;

  5. 支持 XILINX 7 系列以上的全系 FPGA;

  6. 5.支持 Windows/Linux 系统;

二、 低延迟采集方案

该采集方案 Demo 基于 KU115 开发板,使用自研 QDMA IP 核,无需板载 DDR, 可对前端ADC 产生的不大于 6GB/s 的连续或非连续数据进行实时采集,同时该采集卡具备数据发送功能,可以将用户文件或者内存中的数据写到 FPGA 的发送 FIFO 中,速率约为 5.5GB/s,该采集卡具备上位机读写 FPGA 用户寄存器的功能,读写接口为 local bus 接口,方便易用。

1. 结构框图
在这里插入图片描述
2. 采用此方案的某项目性能

  1. 最高支持 8 通道 AD 数据同时上行,最高支持 8 通道 DA 数据同时下行;

  2. PCIE 链路为 8x Gen3 时,数据传输带宽大于 5.7GB/s,误码率低于 10-14;

  3. 驱动采用类 DPDK 架构,通过轮询模式, 内存零拷贝机制,可达到理论最低延迟。

  4. 支持 16 路用户中断;

  5. 支持 XILINX 7 系列以上的全系 FPGA;

  6. 支持 Windows/Linux 系统;

三、 方案价格

真实的价格取决于方案的技术指标、项目周期等因素,欢迎洽谈了解。

xilliix pcie dma 驱动 (基于 xilnx xdma ip核 4.0 的WDF驱动) --- # XDMA Windows Driver This project is Xilinx's sample Windows driver for 'DMA/Bridge Subsystem for PCI Express v4.0' (XDMA) IP. *Please note that this driver and associated software are supplied to give a basic generic reference implementation only. Customers may have specific use-cases and/or requirements for which this driver is not suitable.* ### Dependencies * Target machine running Windows 7 or Windows 10 * Development machine running Windows 7 (or later) * Visual Studio 2015 (or later) installed on development machine * Windows Driver Kit (WDK) version 1703 (or later) installed on development machine ## Directory Structure ``` / |__ build/ - Generated directory containing build output binaries. |__ exe/ - Contains sample client application source code. | |__ simple_dma/ - Sample code for AXI-MM configured XDMA IP. | |__ streaming_dma/ - Sample code for AXI-ST configured XDMA IP. | |__ user_events/ - Sample code for access to user event interrupts. | |__ xdma_info/ - Utility application which prints out the XDMA core ip | | configuration. | |__ xdma_rw/ - Utility for reading/writing to/from xdma device nodes such | | as control, user, bypass, h2c_0, c2h_0 etc. | |__ xdma_test/ - Basic test application which performs H2C/C2H transfers on | all present channels. |__ inc/ - Contains public API header file for XDMA driver. |__ libxdma/ - Static kernel library for XDMA IP. |__ sys/ - Reference driver source code which uses libxdma |__ README.md - This file. |__ XDMA.sln - Visual Studio Solution. ```
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值