W806|CKLINK LITE|ICE调试|HardPoint|elf模板|CSDK|Debug|学习(4):CKLINK调试W806

本文详细介绍了如何使用CKLink进行W806的硬件连接、调试前的准备、Flash配置、以及调试工程的步骤,包括解决3V3供电问题、设置ICE、添加断点和下载固件等过程。
摘要由CSDN通过智能技术生成

目录

一、硬件连接

CKLINK J2引脚功能

接线方式

错误提示:

二、调试前准备

正常识别状态:

wm_tool.exe缺失错误​

三、flash配置

增加W806模板

compiler选项卡

Debug选项卡:

ICE设置

正常连接信息

四、调试工程

添加硬断点:

断点配置

仿真调试

下载固件

参考:

《手把手教你使用CKLink 调试W80x》、《CDK中使用CKLINK下载与调试W806教程》。

一、硬件连接

CKLINK J2引脚功能

 

接线方式

(连线颜色供参考,本例中采用图示颜色):

注意:CKLINK LITE的3V3须与W806的3V3相连,或者给W806开发板单独供电,两种方式均可。

否则,会提示“the reference voltage of link, default 3.3v”:

打开flash-configure Flash Tools,

查看debug中的ICE配置信息,可见:

错误提示:

[ERROR]Fail to check had module of CPU 0, please check:
	1. the physical connection;
	2. the power of the target;
	3. the link clock (link clock <= (CPU clock/2));
	4. the reference voltage of link, default 3.3v;
For more information, please run Debugserver with connect log:
	 Console: run Debugserver with arguments "--debug connect".
	 UI     : select "Connect" from menu "Setting->Verbose Setting".
T-HEAD CKLINK:
              ICE Type: CKLink_Lite_V2
              App Ver : 2.35
              Bit Ver : null
              ICE Clk : 2526.316KHz
5-wire, With DDC, Cache Flush OnSN CKLink_Lite_V2-65D70308D6.
Extra Info: hacr width is 8.
W806的3V3供电解决后,信息提示正常。

W806的3V3供电解决后,信息提示正常。

如果要同时查看串口打印信息,也可采用开发板直接供电的方式:

(串口打印及调试可同时进行)

二、调试前准备

CKLinkLite 插入电脑,驱动一般在安装CDK 时已经安装好了。

正常识别状态:

以WIN10系统为例,按win+X,选择设备管理器,可见CKlink已正常识别:

​准备好flash 模板文件(W806_Flash_Tool.elf):

QQ 群文件02 文件夹内下载模板文件,解压后放到类似下图目录:

如:F:\dev\C-Sky\CDK\CSKY\Flash\

​完成后,正常能在CDK软件中的flash management里看到该文件,已经在模板库中了:

wm_tool.exe缺失错误​

小插曲:用CDK打开CSDK开发包,尝试build时提示如下错误:

从群里找到了wm_tool.exe文件,放在提示目录下,重新build,错误提示消失:

三、flash配置

增加W806模板

打开flash-configure Flash Tools,setting页面点击add,增加W806模板:

Flash子选项卡按如下图进行设置:

compiler选项卡

右击待编译的SDK,选择设置,如果只有1个SDK,打开菜单与前述一致,对编译器的提示等级等参数进行设置。

选取compiler选项卡,设置相应的level,以适应于调试:

Debug选项卡:

ICE设置

点击进入settings,可以看到CKLINK LITE APP Ver为2.35,参考截图进行设置:

正常连接信息

正常连接开发板后的提示信息如下:

T-HEAD CKLINK:
              ICE Type: CKLink_Lite_V2
              App Ver : 2.35
              Bit Ver : null
              ICE Clk : 2526.316KHz
2-wire, With DDC, Cache Flush OnSN CKLink_Lite_V2-65D70308D6.
+--  Debug Arch is CKHAD.  --+
[CPU: 0]+--  CPU 0  --+
[CPU: 0]T-HEAD Xuan Tie CPU Info:
	WORD[0]: 0x04a11453
	WORD[1]: 0x11000000
	WORD[2]: 0x21400417
	WORD[3]: 0x30c00005
Target Chip Info:
	CPU Type is CK804FGT, in LITTLE Endian.
	L1ICache size 16KByte.
	Bus type is AHB32.
	Signoff date is 04/0107.
	HWBKPT number is 5, HWWP number is 2.

四、调试工程

添加硬断点:

断点配置

右击可进行断点配置:

打开advance:

​断点的详细设置:

仿真调试

点击仿真,开始调试:

执行至设定断点处,自动暂停:

下载固件

正常连接,点击download,下载bulid的.fls文件至开发板,Upgrade Tools串口打印正常,显示下载及校验信息:

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

打酱油的工程师

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值