基于FPGA实现的数字位同步锁相环设计

本文介绍了一种基于FPGA的数字位同步锁相环设计,该设计输入数据速率为1M,输出时钟与数据边沿锁定。使用32MHz输入时钟,比例为数据速率的32倍。代码灵感来源于《数字调制解调技术的MATLAB和FPGA实现》。通过仿真,展示了锁相环在应对数据抖动时的效果,能够改善数据采集的同步性。
摘要由CSDN通过智能技术生成

代码说明:
输入是数据信号,在该程序中这个数据速率为1M
输出是经过锁相环提取的输入数据的位同步时钟信号,也就是说这个时钟的边沿和数据变化的边沿是锁定的
该模块的输入时钟为32MHZ,为数据速率的32倍,这个比例关系在该代码中必须是固定的
提前说明,该代码原型来自“杜勇”先生的著作《数字调制解调技术的MATLAB和FPGA实现》
首先是顶层模块:DLL_TOP

module DLL_TOP(
	clk32_i	,
	rst_n_i	,
	data_i	,
	syn_o		
);
	input		wire			clk32_i	;
	input		wire			rst_n_i	;
	input		wire			data_i	;
	output	wire			syn_o		;
	
	wire	clk_d1	, clk_d2	;
	wire	clk_i		,	clk_q		;
	wire	pd_bef	,	pd_aft	;
	wire	pd_before , pd_after	;
	
	clktrans	clktrans_0(
	.rst_n_i	(rst_n_i),
	.clk32_i	(clk32_i),
	.clk_d1_o	(clk_d1),
	.clk_d2_o	(clk_d2)	
	);		
	
	differpd	differpd_0(	
	.rst_n_i	(rst_n_i),
	.clk32_i	(clk32_i),
	.data_i		(data_i),
	.clk_i_i	(clk_i),
	.clk_q_i	(clk_q),
	.pd_bef_o	(pd_bef),
	.pd_aft_o	(pd_aft)
	);
	
	monostable monostable_0(
	.clk32_i(clk32_i),
	.rst_n_i(rst_n_i),
	.data_i	(pd_bef	),
	.data_o	(pd_before)	
	);
	
	monosta
  • 2
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
现场可编程门阵YSJ(FPGA)的发展已经有二十多年,从最初的1200门发展到了 目前数百万门至上千万门的单片FPGA芯片。现在,FPGA已广泛地应用于通信、 消费类电子和车用电子类等领域,但国内市场基本上是国外品牌的天下。 在高密度FPGA中,芯片上时钟分布质量变的越来越重要,时钟延迟和时钟 偏差已成为影响系统性能的重要因素。目前,为了消除FPGA芯片内的时钟延迟, 减小时钟偏差,主要有利用延时锁相环(DLL)和锁相环(PLL)两种方法,而其 各自又分为数字设计和模拟设计。虽然用模拟的方法实现的DLL所占用的芯片面 积更小,输出时钟的精度更高,但从功耗、锁定时间、设计难易程度以及可复用 性等多方面考虑,我们更愿意采用数字的方法来实现。 本论文是以Xilinx公司Virtex.E系列FPGA为研究基础,对全数字延时锁相 环(DLL)电路进行分析研究和设计,在此基础上设计出具有自主知识产权的模 块电路。 本文作者在一年多的时间里,从对电路整体功能分析、逻辑电路设计、晶体 管级电路设计和仿真以及最后对设计好的电路仿真分析、电路的优化等做了大量 的工作,通过比较DLL与PLL、数字DLL与模拟DLL,深入的分析了全数字DLL 模块电路组成结构和工作原理,设计出了符合指标要求的全数字DLL模块电路, 为开发自我知识产权的FPGA奠定了坚实的基础。 本文先简要介绍FPGA及其时钟管理技术的发展,然后深入分析对比了DLL 和PLL两种时钟管理方法的优劣。接着详细论述了DLL模块及各部分电路的工作 原理和电路的设计考虑,给出了全数字DLL整体架构设计。最后对DLL整体电路 进行整体仿真分析,验证电路功能,得出应用参数。在设计中,用Verilog.XL对 部分电路进行数字仿真,Spectre对进行部分电路的模拟仿真,而电路的整体仿真 工具是HSIM。 本设计采用TSMC O.18岬CMOS工艺库建模,设计出的DLL工作频率范围 从25MHz到400MHz,工作电压为1.8V,工作温度为.55℃。125℃,最大抖动时 间为28ps,在输入100MHz时钟时的功耗为200roW,达到了国外同类产品的相应 指标。最后完成了输出电路设计,可以实现时钟占空比调节,2倍频,以及1.5、2、摘要 2.5、3、4、5、8、16时钟分频等时钟频率合成功能
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值