Verilog编程

一、门电路

1.1、非门

创建实现"不"门的模块。
在这里插入图片描述
代码

module top_module( input in, output out );
assign	out = ~ in;
endmodule

结果
在这里插入图片描述

1.2、与门

创建一个模块,实现一个与门。
在这里插入图片描述
电路有三个 wire : a,b 以及 out。a,b 信号已经由模块的输入端口驱动,但图中黑色的部分中,wire out 还没有被任何信号驱动。本题要写一个 assign 语句,使 a,b 信号经过与门的输出驱动 wire out 信号。

代码

module top_module( 
    input a, 
    input b, 
    output out );
	assign	out = a & b;
endmodule

结果
在这里插入图片描述

1.3、或非门

创建实现 NOR 门的模块。NOR 门是输出倒置的 OR 门。
在这里插入图片描述
assign 语句将某个值赋予 wire 信号,这个 value 可以是常量,也可以是一个复杂的逻辑表达式,综合器会综合出相应的逻辑门实现。assign 语句代表的始终是连续赋值,因为当输入信号改变时,输出信号会重新“计算”。和一个逻辑门的工作方式相同,输入改变,输出对应改变。

代码

module top_module( 
    input a, 
    input b, 
    output
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值