VScode配置Verilog/SystemVerilog环境 (一)概述

为什么我要用VSCode,请查看我的另一篇Blog-FPGA之路——Verilog与编辑器的那些事儿


在VSCode上将要实现的功能:

  • 语法高亮
  • 代码对齐
  • 括号的处理
  • 文件编码方式
  • 版本管理
  • 二进制文件
  • 系统文件图标
  • 快捷键操作
  • 自动例化
  • 自动补全
  • Lint检查
  • vivado绑定vscode
  • 自动跳转
  • 自动声明
  • 定义跳转

需要用到的插件:

  • Verilog HDL/SystemVerilog
  • Code alignment
  • Bracket Pair Colorizer
  • GBKtoUTF8
  • GitLens
  • hexdump for VSCode
  • Material Icon Theme
  • Notepad++keymap .etc
  • TabOut
  • TCL Language Support
  • vscode-icons
  • ctags
    欢迎留言补充

后续计划更新以下几个小节:
VSCode配置Verilog/SystemVerilog开发环境(二)插件安装
VSCode配置Verilog/SystemVerilog开发环境(三)插件配置
VSCode配置Verilog/SystemVerilog开发环境(四)常用操作
VSCode配置Verilog/SystemVerilog开发环境(五)插件制作

配置VSCodeVerilog环境,你可以按照以下步骤进行操作: 1. 安装VSCode:首先,确保你已经安装了Visual Studio Code编辑器。你可以从VSCode的官方网站(https://code.visualstudio.com/)下载并安装最新版本。 2. 安装Verilog插件:在VSCode中,点击左侧的扩展图标(或按下Ctrl+Shift+X),在搜索栏中输入"Verilog",然后选择安装"Verilog-HDL/SystemVerilog"插件。这个插件提供了Verilog语法高亮、代码补全和其他有用的功能。 3. 配置Verilog编译器:为了编译和运行Verilog代码,你需要在系统中安装一个Verilog编译器。常用的选择包括Icarus Verilog、ModelSim等。你可以根据你的需求选择一个适合的编译器,并确保其在系统的环境变量中可用。 4. 配置VSCode任务:打开你的Verilog项目文件夹,在VSCode中按下Ctrl+Shift+P,然后输入"Tasks: Configure Task"并选择它。在弹出的列表中选择"Create tasks.json file from template",然后选择"Others"。这将创建一个tasks.json文件。 5. 配置编译任务:在tasks.json文件中,将以下代码粘贴到tasks数组中: ```json { "label": "Compile Verilog", "type": "shell", "command": "iverilog", "args": [ "-o", "${fileBasenameNoExtension}.out", "${file}" ], "group": { "kind": "build", "isDefault": true } } ``` 这个配置使用Icarus Verilog编译器来编译Verilog代码。如果你使用的是其他编译器,请将command的值更改为对应的编译器命令。 6. 运行编译任务:在VSCode中按下Ctrl+Shift+B,选择"Compile Verilog"任务来编译你的代码。这将在代码文件所在目录生成一个可执行文件。 现在,你已经成功配置VSCodeVerilog环境。你可以使用代码编辑器中的其他功能来进一步开发和调试你的Verilog项目。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值