VScode配置Verilog/SystemVerilog环境 (一)概述

为什么我要用VSCode,请查看我的另一篇Blog-FPGA之路——Verilog与编辑器的那些事儿


在VSCode上将要实现的功能:

  • 语法高亮
  • 代码对齐
  • 括号的处理
  • 文件编码方式
  • 版本管理
  • 二进制文件
  • 系统文件图标
  • 快捷键操作
  • 自动例化
  • 自动补全
  • Lint检查
  • vivado绑定vscode
  • 自动跳转
  • 自动声明
  • 定义跳转

需要用到的插件:

  • Verilog HDL/SystemVerilog
  • Code alignment
  • Bracket Pair Colorizer
  • GBKtoUTF8
  • GitLens
  • hexdump for VSCode
  • Material Icon Theme
  • Notepad++keymap .etc
  • TabOut
  • TCL Language Support
  • vscode-icons
  • ctags
    欢迎留言补充

后续计划更新以下几个小节:
VSCode配置Verilog/SystemVerilog开发环境(二)插件安装
VSCode配置Verilog/SystemVerilog开发环境(三)插件配置
VSCode配置Verilog/SystemVerilog开发环境(四)常用操作
VSCode配置Verilog/SystemVerilog开发环境(五)插件制作

  • 9
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值