如何在Quartus II 13.1创建一个项目以及详细教程

今天来分享一下如何在Quartus II 13.1上面创建一个工程,并举了一个简单的例子。
首先:第一步我们先打开Quartus II 13.1,会出现如图界面,我们先点击菜单栏的file
在这里插入图片描述
第二步:点击file下面的创建一个新工程
在这里插入图片描述
第三步:出现如图所示界面,点击下一步
在这里插入图片描述
第四步:按如图所示要求填好
在这里插入图片描述
第五步:点击下一步
在这里插入图片描述
第六步:选择自己对于的芯片类型和芯片
在这里插入图片描述
第七步:点击下一步
在这里插入图片描述
第八步:检查相关参数是否正确,如无误点击完成
在这里插入图片描述
第九步:点击完成后我们可以看到左下角的层次结构栏里面已经有我们创建的工程了
在这里插入图片描述
第十步:然后我们可以点击菜单的file下的new来创建相关文件
在这里插入图片描述
第十一步:这里讲解里面常用的几个文件
在这里插入图片描述
这里开始我们讲解案例创建
第十二步:点击上图的VHDL File文件来创建一个VHDL文件,代码是一个逻辑与非门代码

library ieee;         
use ieee.std_logic_1164.all;

entity notand is
  port(a,b:in std_logic;
       y:out std_logic);
		 end;
		 
architecture rtl of notand is 
  begin
    y<=not(a and b);
	 end;

在这里插入图片描述
第十三步:创建好后保存然后再点击编译
在这里插入图片描述
第十四步:编译好后我们可以点击菜单file选项下面的Create/Update下面的Create Symbol Files for Current File,创建一个symbol用在bdf文件用。
在这里插入图片描述
第十五步:然后再按图中操作创建一个bdf文件
在这里插入图片描述
第十六步:按要求连接好输入和输出口搭建好仿真图,再将bdf文件置顶进行编译。
在这里插入图片描述

第十七步:点击第十一步里面的University Program VWF 文件,创建一个仿真波形图,然后按图中要求操作。
在这里插入图片描述
第十八步:完成后点击图中的完成ok
在这里插入图片描述

第二十步:点击功能仿真,生成仿真波形图
在这里插入图片描述
最后:仿真波形图
在这里插入图片描述

本人水平有限,上述信息仅供参考,如有错误和不妥之处,请多多指教。
另外创作不易,请勿抄袭,如果有帮助到大家的话希望大家可以点个赞,谢谢~

  • 25
    点赞
  • 134
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 8
    评论
Quartus II是一款由Intel(前身为Altera)开发的集成电路设计软件,用于设计和开发FPGA(现场可编程门阵列)和CPLD(可编程逻辑器件)应用。以下是Quartus II 13.1的使用教程的简要介绍: 1. 安装和启动Quartus II:首先,您需要下载并安装Quartus II 13.1软件。安装完成后,您可以启动Quartus II创建一个工程。 2. 创建工程:在Quartus II中,您可以创建一个工程来开始您的设计。在创建工程时,您需要选择目标设备、设置工作目录和添加源文件等。 3. 设计输入:在Quartus II中,您可以使用HDL(硬件描述语言)如VHDL或Verilog来描述您的设计。您可以创建的源文件或导入现有的源文件。 4. 约束设置:在设计中,您需要为各个信号和时钟设置约束条件。这些约束条件包括时钟频率、时序要求等。在Quartus II中,您可以使用约束文件来定义这些约束条件。 5. 编译和综合:一旦您完成了设计输入和约束设置,您可以对设计进行编译和综合。编译过程将把您的设计转换为逻辑网表,并进行一系列优化和综合操作。 6. 下载到目标设备:完成编译后,您可以将设计下载到目标设备中进行验证和测试。在Quartus II中,您可以使用JTAG接口或者其他支持的下载方式将设计下载到FPGA或CPLD中。 7. 仿真和调试:Quartus II还提供了仿真和调试功能,您可以使用ModelSim等仿真工具来验证您的设计的功能和时序。 8. 生成配置文件:最后,您可以生成配置文件以便在实际部署中使用。这些配置文件包括比特流文件(bitstream)和其他必要的文件。 希望以上简要介绍对您有所帮助!如果您有任何进一步的问题,请随时提问。
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

OMGMac

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值