Quartus II建立新工程流程,Quartus如何建立工程?

在用Quartus (Quartus Prime 18.0) Standard Edition开发一个项目时,首先要建立一个工程文件,这个工程文件包含了项目设计过程中生成的所有文件。创建的步骤大致如下:

3.1 首先双击Quartus (Quartus Prime 18.0) Standard Edition软件,打开界面1.6所示。

图1.6  Quartus (Quartus Prime 18.0) Standard Edition界面图

3.2 选择File菜单下New Project Wizard,然后再点击Next,如图1.7所示。

 图1.7

3.3 然后在图1.7中继续点击Next,出现如下界面,如图1.8所示。

图1.8

 这一个界面负责设置工程名、工程存放的位置和顶层实体的名字。第一栏为工程目录,可根据需求存放相应的位置;第二栏为工程名称;第三栏为顶层实体名称,默认和工程名称一致。注意:工程文件名称以及保存的路径只能是英文,否则Quartus打开工程异常。

3.4 在1.8界面点击Next出现1.9界面,该界面负责选择新建工程的类型,由于我们需要自己设计工程,因此选择Empty project。

图1.9

3.5 在1.9界面继续点击Next,出现1.10界面。在此界面可以提前加入自己已经设计好的文件,也可以后续再添加。此处我们选择在后续工程中添加设计文件。

图1.10 

3.6 点击Next出现1.11界面。Quartus II自带的仿真软件只支持CycloneⅠ- Ⅳ device families,所以此处必须根据开发版选择正确的芯片型号。在family中下拉找到Cyclone IV E,(如果此处为灰色或找不到Cyclone IV E,请点击链接:https://blog.csdn.net/Somnus_z/article/details/105983308),选择pakageFBGA封装,pin_count484Core speed grade8,然后再在Available device中选择EP4CE15F23C8

图1.11

3.7点击Next,出现图1.12界面,该界面选择仿真的软件以及仿真语言。此处我们选择Modelsim,Format选择Verilog HDL,然后点击Next,就完成了工程文件的创建,如图1.13所示。

 

图1.13

3.8  一个工程下往往存在多个文件,所以根据需要在project中添加所需要的文件。 

●添加新文件 file -> new ->Verilog HDL File;然后在.V文件中添加代码,然后保存即可。操作示意图如图1.14所示。

 

 

图1.14

●添加已经存在的文件 project -> add/remove files in project;

几个注意事项:保存文件时,需要将文件名和模块名保持一致,方便以后调用。

顶层模块和子模块。设置一个模块为顶层模块时,右键该模块,选择set as top-level entity,操作图如图1.15所示。

 

图1.15

3.9  在Quartus软件中设置好顶层文件以后,选择Processing—Start Complilation,从而将设计文件进行编译,以检验有无错误,如图1.16所示。

图  1.16

或点击黑框内的快捷键,操作示意图如图1.17所示。

图  1.17

编译过程中如果有错误会给出错误提示,如果没有错误,显示编译成功,编译成功的界面图如图1.18所示。

图  1.18

3.10  分配管脚,因为创建工程时已经指定器件,所以直接分配管脚即可。点击assignments——>pin planner,然后出现如下图1.19界面。

图  1.19

 

  • 6
    点赞
  • 65
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值