EDA技术实用教程VHDL篇--Quartus II 13.1实用教程--工程建立

建立一个VHDL工程环境并综合、编译

双击quartus II 13.1
点击左上角“File”然后点击“new”
新建VHDL文本文件
并选择VHDL File,后点击OK
会出现以下工作环境VHDL文本输入工作环境
在这里笔者为方便保存并建立工程,以一个二选一数据选择器为例子,进行配置
在这里插入图片描述
然后会有是否创建新工程的提示,点击“YES”便可
在这里插入图片描述
在这一步直接点击“NEXT”即可,无需其他操作(后面部分步骤也是如此,直接点击“NEXT即可”)
在这里插入图片描述
在这里插入图片描述
这一步点击“FILE NAME”后的三个点,并选择对应的工程文件,后点击打开,然后点击“ADD”,继续点击“NEXT”
在这里插入图片描述
接下来的这一步尤为重要,如果需要在实验开发板上进行验证,一定要选择与之对应的开发板型号,方便后期绑定对应管脚并进行上板上电验证
在这里插入图片描述
在选择无误后继续点击"NEXT"

接着下一步时仿真器等选择,在前期选用“.vwf”波形验证文件(在后面会有提到,虚拟仿真板块),剩下的几步直接选择NEXT即可。

在工程配置完成后进行VHDL文本编辑


library ieee;
use ieee.std_logic_1164.all;

entity mux211 is
	port(a,b:in std_logic;
			 s:in std_logic;
			 y:out std_logic);
end entity;

architecture one of mux211 is
begin
	process(a,b,s)
	begin
		if s='0' then y<=a;else y<=b;end if;
	end process;
end one;

点击红圈所示的紫色三角形,进行综合编译
在这里插入图片描述
在综合后,如有语法错误,则必须返回VHDL文件中修改,而没有错误只有警告可以忽视

接着在“TOOLS”中选择"NETLIST VIEWERS"中可以查看RTL文件,以验证程序是否真的有用。
建立工程和综合编译到这里就结束了,下一篇文章具体讲述虚拟仿真和部分上板验证

欢迎点赞,关注,如有文章上的错误,请在评论区积极指正,感谢阅览!

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
EDA 技术丛书 VHDL 实用教程 潘 松 王国栋 编著 内 容 简 介 本书比较系统地介绍了 VHDL 的基本语言现象和实用技术 全书以实用和可操作为基点 简洁而又不失完整地介绍了 VHDL 基于 EDA 技术的理论与实践方面的知识其中包括 VHDL 语句语法基础知识 第 1 章 第 7 章 逻辑综合与编程技术 第 9 章有限状态机及其设计 第 10 章 基于 FPGA 的数字滤波器设计 第 11 章 多种常用的支持 VHDLEDA软件使用介绍 第 12 章 VHDL 数字系统设计实践介绍 第 13章 和大学生电子设计赛题的 VHDL 应用介绍 第 14 章 全书列举了大量 VHDL 设计示例 其中大部分经第 12 章介绍的 VHDL 综合器编译通过 第 13 章的程序绝大部分都通过了附录介绍的 EDA 实验系统上的硬件测试 可直接使用 书中还附有大量程序。设计和实验/实践方面的习题。 本书可作为高等院校的电子工程 通信 工业自动化 计算机应用技术 电子对抗仪器仪表 数字信号处理 图像处理等学科领域和专业的高年级本科生或研究生的 VHDLEDA 技术课程的教材及实验指导 也可作为相关专业技术人员的自学参考书。 目 录 第 1 章 绪 论....................................................................................................................1 § 1.1 关于 EDA...............................................................................................................1 § 1.2 关于 VHDL............................................................................................................3 § 1.3 关于自顶向下的系统设计方法............................................................................5 § 1.4 关于应用 VHDLEDA 过程.............................................................................6 § 1.5 关于在系统编程技术............................................................................................9 § 1.6 关于 FPGA/CPLD 的优势...................................................................................10 § 1.7 关于 VHDL 的学习.............................................................................................10 第 2 章 VHDL 入门..............................................................................................................12 § 2.1 用 VHDL 设计多路选择器和锁存器 .................................................................12 § 2.2 用 VHDL 设计全加器.........................................................................................15 第 3 章 VHDL 程序结构......................................................................................................19 § 3.1 实 体 ENTITY ..............................................................................................19 § 3.2 结构体 ARCHITECTURE ............................................................................26 § 3.3 块语句结构 BLOCK .....................................................................................29 § 3.4 进程 PROCESS .............................................................................................32 § 3.5 子程序(SUBPROGRAM)....................................................................................35 3.5.1 函数 FUNCTION ..................................................................................36 3.5.2 重载函数 OVERLOADED FUNCTION ..............................................39 3.5.3 过程 PROCEDURE ...............................................................................42 3.5.4 重载过程 OVERLOADED PROCEDURE ..........................................44 § 3.6 库 LIBRARY .................................................................................................45 § 3.7 程序包 PACKAGE ........................................................................................48 § 3.8 配置 CONFIGURATION ..............................................................................51 习题............................................................................................................................ 53 第 4 章 VHDL 语言要素......................................................................................................55 § 4.1 VHDL 文字规则..................................................................................................55 § 4.2 VHDL 数据对象..................................................................................................58 4.2.1 变量(VARIABLE)........................................................................................59 4.2.2 信号(SIGNAL).............................................................................................60 4.2.3 常数(CONSTANT).......................................................................................63 § 4.3 VHDL 数据类型..................................................................................................64 4.3.1 VHDL 的预定义数据类型..........................................................................65 4.3.2 IEEE 预定义标准逻辑位与矢量.................................................................68 4.3.3 其它预定义标准数据类型 ..........................................................................70 4.3.4 用户自定义数据类型方式 ..........................................................................71 4.3.5 枚举类型......................................................................................................73 4.3.6 整数类型和实数类型..................................................................................74 4.3.7 数组类型......................................................................................................74 4.3.8 记录类型......................................................................................................76 4.3.9 数据类型转换..............................................................................................78 § 4.4 VHDL 操作符......................................................................................................82 4.4.1 操作符种类..................................................................................................82 4.4.2 逻辑操作符..................................................................................................83 4.4.3 关系操作符..................................................................................................85 4.4.4 算术操作符..................................................................................................87 4.4.5 重载操作符..................................................................................................93 习题...............................................................................................................................94 第 5 章 VHDL 顺序语句......................................................................................................95 § 5.1 赋值语句..............................................................................................................95 5.1.1 信号和变量赋值..........................................................................................96 5.1.2 赋值目标......................................................................................................97 § 5.2 流程控制语句......................................................................................................99 5.2.1 IF 语句..........................................................................................................99 5.2.2 CASE 语句.................................................................................................102 5.2.3 LOOP 语句.................................................................................................106 5.2.4 NEXT 语句.................................................................................................109 5.2.5 EXIT 语句..................................................................................................110 § 5.3 WAIT 语句.........................................................................................................111 § 5.4 子程序调用语句................................................................................................115 § 5.5 返回语句(RETURN)..........................................................................................118 § 5.6 空操作语句(NULL)...........................................................................................119 § 5.7 其它语句和说明................................................................................................120 5.7.1 属性(ATTRIBUTE) 描述与定义语句......................................................120 5.7.2 文本文件操作(TEXTIO)...........................................................................125 5.7.3 ASSERT 语句.............................................................................................127 5.7.4 REPORT 语句............................................................................................128 5.7.5 决断函数....................................................................................................128 习题...............................................................................................................................129 第 6 章 VHDL 并行语句....................................................................................................131 § 6.1 进程语句............................................................................................................132 § 6.2 块 语 句............................................................................................................137 § 6.3 并行信号赋值语句............................................................................................138 6.3.1 简单信号赋值语句....................................................................................138 6.3.2 条件信号赋值语句....................................................................................138 6.3.3 选择信号赋值语句....................................................................................139 § 6.4 并行过程调用语句............................................................................................141 § 6.5 元件例化语句....................................................................................................143 § 6.6 类属映射语句....................................................................................................145 § 6.7 生成语句............................................................................................................146 习题.............................................................................................................................151 第 7 章 VHDL 的描述风格................................................................................................153 § 7.1 行为描述............................................................................................................153 § 7.2 数据流描述........................................................................................................155 § 7.3 结构描述............................................................................................................156 习题.............................................................................................................................157 第 8 章 仿 真................................................................................................................158 § 8.1 VHDL 仿真........................................................................................................158 § 8.2 延时模型............................................................................................................162 8.2.1 固有延时....................................................................................................163 8.2.2 传输延时....................................................................................................163 § 8.3 仿 真 d..............................................................................................................164 § 8.4 仿真激励信号的产生........................................................................................164 § 8.5 VHDL 测试基准................................................................................................166 § 8.6 VHDL 系统级仿真............................................................................................169 习题.............................................................................................................................170 第 9 章 综 合................................................................................................................171 § 9.1 VHDL 综合........................................................................................................171 § 9.2 有关可综合性的考虑........................................................................................174 § 9.3 寄存器引入方法................................................................................................175 9.3.1 容易发生的错误........................................................................................175 9.3.2 常规寄存器的引入....................................................................................180 9.3.3 具有时钟门控结构寄存器的引入............................................................183 9.3.4 同步置位 复位功能的引入....................................................................184 9.3.5 异步置位 复位功能的引入....................................................................184 § 9.4 引入寄存器的有关技巧....................................................................................186 § 9.5 三态门引入方法................................................................................................190 § 9.6 资源共享............................................................................................................194 习题.............................................................................................................................196 第 10 章 有限状态机 FSM.................................................................................................198 § 10.1 一般状态机设计..............................................................................................199 § 10.2 状态机的状态编码..........................................................................................210 § 10.3 状态机剩余状态处理......................................................................................212 习题.............................................................................................................................213 第 11 章 数字滤波器设计 ..................................................................................................215 § 11.1 基于 FPGA 的数字滤波器优势.....................................................................215 § 11.2 FIR 数字滤波器设计......................................................................................217 11.2.1 FIR 滤波器结构原理简要.......................................................................217 11.2.2 FIR 滤波器设计方案确定.......................................................................220 11.2.3 FIR 滤波器主系统设计...........................................................................223 11.2.4 FIR 滤波器附加功能实现.......................................................................227 § 11.3 IIR 数字滤波器设计.......................................................................................229 11.3.1 IIR 滤波器设计方案................................................................................229 11.3.2 IIR 滤波器的实现....................................................................................232 习题.............................................................................................................................234 第 12 章 VHDL 设计平台使用向导..................................................................................235 § 12.1 ispVHDL 使用向导..........................................................................................235 12.1.1 ispLSI 系列介绍.......................................................................................236 12.1.2 ispVHDL 设计套件介绍..........................................................................236 12.1.3 ispVHDL 设计向导..................................................................................237 § 12.2 Altera MAX+plus II VHDL 使用向导 ............................................................246 § 12.3 MAX+plus II 与 Synplify 接口........................................................................254 § 12.4 Xilinx Foundation VHDL 使用向导................................................................256 12.4.1 Foundation 设计流程...............................................................................256 12.4.2 VHDL 输入方式设计向导......................................................................257 习题...............................................................................................................................264 第 13 章 VHDL 设计实践与实验......................................................................................265 § 13.1 8 位预置加法计数器设计 ...............................................................................265 实验习题...................................................................................................................267 § 13.2 宽位可预置中断处理器 ...............................................................................267 实验习题...................................................................................................................268 § 13.3 静态随机存储器 SRAM ..........................................................................269 实验习题...................................................................................................................270 § 13.4 堆栈设计..........................................................................................................270 实验习题...................................................................................................................271 § 13.5 8 位硬件加法器设计.......................................................................................271 实验习题...................................................................................................................273 § 13.6 8 位硬件乘法器设计.......................................................................................273 实验习题...................................................................................................................278 § 13.7 乒乓球游戏电路设计......................................................................................278 实验习题...................................................................................................................283 § 13.8 序列检测器设计..............................................................................................283 实验习题...................................................................................................................284 § 13.9 正负脉宽数控调制信号发生器设计 ..............................................................284 实验习题...................................................................................................................286 § 13.10 “梁祝 乐曲演奏电路设计...........................................................................287 实验习题...................................................................................................................292 § 13.11 RS232 通信控制电子琴.................................................................................292 实验习题...................................................................................................................295 § 13.12 数字频率计设计............................................................................................296 实验习题...................................................................................................................299 § 13.13 PC 机 单片机 FPGA 双向通信...............................................................299 实验习题...................................................................................................................301 § 13.14 VGA 显示器彩条信号发生器设计...............................................................301 实验习题...................................................................................................................304 § 13.15 A/D 采样控制器设计 ....................................................................................304 实验习题...................................................................................................................308 § 13.16 D/A 接口电路与波形发生器设计.................................................................308 实验习题...................................................................................................................310 § 13.17 MCS-51 单片机与 CPLD 接口逻辑设计 .....................................................310 13.17.1 总线方式................................................................................................310 13.17.2 独立方式..............................................................................................312 实验习题...................................................................................................................313 § 13.18 PS/2 键盘接口逻辑设计................................................................................314 § 13.19 7 段 LED 译码显示电路设计........................................................................315 实验习题...................................................................................................................316 第 14 章 电子设计竞赛实例介绍......................................................................................317 § 14.1 多功能等精度频率计......................................................................................317 14.1.1 测频原理..................................................................................................317 14.1.2 测频专用模块工作原理和设计..............................................................318 14.1.3 频率计功能模块的 VHDL 描述.............................................................320 14.1.4 测频主系统实现......................................................................................323 14.1.5 专用模块测试控制信号说明..................................................................324 §14.2 电子设计竞赛开发板.......................................................................................325 习题.............................................................................................................................326 附录 1 GW48 型 EDA 实验开发系统使用介绍 ...............................................................327 附录 2 一些 FPGA 和 CPLD 芯片引脚图.........................................................................344 本书有PDF格式跟word文档格式,两种文件格式方便读者阅读的方便。
EDA技术实用教程VHDL 第4版》是一本关于EDA(Electronic Design Automation)技术VHDL(Very High Speed Integrated Circuit Hardware Description Language)编程语言的实用教程。该书是第4版,说明其内容及实用性已经得到了完善和更新。 EDA技术是现代电子设计领域的重要工具,它利用计算机辅助设计软件来辅助完成电路设计、仿真、布局和布线等任务。这本教程主要涵盖了EDA技术的基本概念和原理,以及如何使用EDA软件进行电路设计和验证。其中,VHDL是一种用于描述数字电路的硬件描述语言,具有丰富的语法和强大的编程能力,可用于描述各种复杂的数字电路和系统。 这本教程从基础知识开始讲解,逐步引导读者掌握VHDL的语法和用法。它包含了丰富的例子和练习,帮助读者深入理解并熟练掌握VHDL编程。此外,教程还介绍了常见的EDA软件工具,如Xilinx ISE、ModelSim等,并提供了详细的操作指南,帮助读者了解如何使用这些工具进行电路仿真和综合。 《EDA技术实用教程VHDL 第4版》对于电子工程师、学生以及对EDA技术VHDL编程感兴趣的读者来说,是一本很好的参考资料。通过学习本教程,读者可以深入了解电路设计的基本原理和方法,掌握EDA软件的使用技巧,提高自己在电子设计领域的能力和水平。 总之,这本教程是一本以EDA技术VHDL编程为核心的实用教程,内容丰富、系统性强,适合初学者和有一定基础的读者学习和参考。希望读者通过学习本教程能够更好地理解和运用EDA技术VHDL编程进行电路设计和验证。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值