EDA技术实用教程VHDL篇--Quartus II 13.1实用教程--工程建立

建立一个VHDL工程环境并综合、编译

双击quartus II 13.1
点击左上角“File”然后点击“new”
新建VHDL文本文件
并选择VHDL File,后点击OK
会出现以下工作环境VHDL文本输入工作环境
在这里笔者为方便保存并建立工程,以一个二选一数据选择器为例子,进行配置
在这里插入图片描述
然后会有是否创建新工程的提示,点击“YES”便可
在这里插入图片描述
在这一步直接点击“NEXT”即可,无需其他操作(后面部分步骤也是如此,直接点击“NEXT即可”)
在这里插入图片描述
在这里插入图片描述
这一步点击“FILE NAME”后的三个点,并选择对应的工程文件,后点击打开,然后点击“ADD”,继续点击“NEXT”
在这里插入图片描述
接下来的这一步尤为重要,如果需要在实验开发板上进行验证,一定要选择与之对应的开发板型号,方便后期绑定对应管脚并进行上板上电验证
在这里插入图片描述
在选择无误后继续点击"NEXT"

接着下一步时仿真器等选择,在前期选用“.vwf”波形验证文件(在后面会有提到,虚拟仿真板块),剩下的几步直接选择NEXT即可。

在工程配置完成后进行VHDL文本编辑


library ieee;
use ieee.std_logic_1164.all;

entity mux211 is
	port(a,b:in std_logic;
			 s:in std_logic;
			 y:out std_logic);
end entity;

architecture one of mux211 is
begin
	process(a,b,s)
	begin
		if s='0' then y<=a;else y<=b;end if;
	end process;
end one;

点击红圈所示的紫色三角形,进行综合编译
在这里插入图片描述
在综合后,如有语法错误,则必须返回VHDL文件中修改,而没有错误只有警告可以忽视

接着在“TOOLS”中选择"NETLIST VIEWERS"中可以查看RTL文件,以验证程序是否真的有用。
建立工程和综合编译到这里就结束了,下一篇文章具体讲述虚拟仿真和部分上板验证

欢迎点赞,关注,如有文章上的错误,请在评论区积极指正,感谢阅览!

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值