一、虚拟机安装
这里使用芯王国的Linux虚拟机,安装过程如下:https://note.youdao.com/s/FjkfF7R
安装包地址:https://pan.baidu.com/s/1n37iftWXI_F3B8_iKn1kyw
密码:gsl2
license年限 2029
虚拟机用户名:2022
虚拟机密码:2022
二、下载UVM_1.1d
按照自己需要下载UVM库文件,这里下载的是UVM_1.1d
链接:https://www.accellera.org/downloads/standards/uvm
下载完成后,将压缩包文件拖拽到虚拟机中
三、解压并设置UVM环境参数
打开终端,解压压缩包:
tar -zxvf uvm-1.1d.tar.gz
解压后的文件夹如下
接下来需要加入UVM_HOME环境变量,UVM_HOME指示的是当前uvm库的路径,即上图中的uvm-1.1d;
打开终端输入:
export UVM_HOME=/home/ICer/uvm-1.1d
设置完环境变量后输入以下命令,确保生效;
source .bashrc
四、修改Makefile文件
需要修改的Makefile文件在uvm-1.1d文件夹的example文件夹下
输入命令,对该文件进行修改
gvim Makefile.vcs
在43行加上如下所示部分
五、编辑自己的Makefile文件
进入需要仿真的工程目录下,新建Makefile文件
在其中输入如下命令:
其中,UVM_HOME为UVM库的安装目录;
include为第四步中修改的Makefile.vcs路径;
第9行的run_test.sv即为需要仿真的测试文件;
第12行需要修改为+UVM_TESTNAME=<自己的测试实例>
UVM_HOME = /home/ICer/uvm-1.1d
include /home/ICer/uvm-1.1d/examples/Makefile.vcs
all: comp run
comp:
$(VCS) +incdir+../sv \
run_test.sv
run:
$(SIMV) +UVM_TESTNAME=my_test
$(CHECK)
六、启动VCS仿真
编写完Makefile文件后,我们在其目录下输入命令:
make -f Makefile.vcs
程序运行结果会在终端进行打印,若看到最终打印信息如下,则说明平台建立成功;
七、测试文件
第五步中,run_test.sv源码如下
import uvm_pkg::*;
`include "uvm_pkg.sv"
`include "uvm_macros.svh"
module hello_world_example;
initial begin
`uvm_info ("info1","Hello World! lee-2017-7-25", UVM_LOW)
`uvm_info("Gwj Test info2","Hello this is a test",UVM_LOW)
end
endmodule: hello_world_example