Linux中UVM环境搭建


一、虚拟机安装

这里使用芯王国的Linux虚拟机,安装过程如下:https://note.youdao.com/s/FjkfF7R
安装包地址:https://pan.baidu.com/s/1n37iftWXI_F3B8_iKn1kyw
密码:gsl2
license年限 2029
虚拟机用户名:2022
虚拟机密码:2022


二、下载UVM_1.1d

按照自己需要下载UVM库文件,这里下载的是UVM_1.1d
链接:https://www.accellera.org/downloads/standards/uvm
在这里插入图片描述下载完成后,将压缩包文件拖拽到虚拟机中
在这里插入图片描述


三、解压并设置UVM环境参数

打开终端,解压压缩包:

tar -zxvf uvm-1.1d.tar.gz

解压后的文件夹如下
在这里插入图片描述接下来需要加入UVM_HOME环境变量,UVM_HOME指示的是当前uvm库的路径,即上图中的uvm-1.1d;
打开终端输入:

export UVM_HOME=/home/ICer/uvm-1.1d

设置完环境变量后输入以下命令,确保生效;

source .bashrc

四、修改Makefile文件

需要修改的Makefile文件在uvm-1.1d文件夹的example文件夹下
在这里插入图片描述输入命令,对该文件进行修改

gvim Makefile.vcs

在43行加上如下所示部分
在这里插入图片描述


五、编辑自己的Makefile文件

进入需要仿真的工程目录下,新建Makefile文件
在其中输入如下命令:
其中,UVM_HOME为UVM库的安装目录;
include为第四步中修改的Makefile.vcs路径;
第9行的run_test.sv即为需要仿真的测试文件;
第12行需要修改为+UVM_TESTNAME=<自己的测试实例>

UVM_HOME    = /home/ICer/uvm-1.1d

include /home/ICer/uvm-1.1d/examples/Makefile.vcs

all: comp run

comp:
    $(VCS) +incdir+../sv \
        run_test.sv

run:
    $(SIMV) +UVM_TESTNAME=my_test
    $(CHECK)

六、启动VCS仿真

编写完Makefile文件后,我们在其目录下输入命令:

make -f Makefile.vcs

程序运行结果会在终端进行打印,若看到最终打印信息如下,则说明平台建立成功;
在这里插入图片描述


七、测试文件

第五步中,run_test.sv源码如下

import uvm_pkg::*;
`include "uvm_pkg.sv"
`include "uvm_macros.svh"
module hello_world_example;  
   initial begin 
     `uvm_info ("info1","Hello World! lee-2017-7-25", UVM_LOW)
     `uvm_info("Gwj Test info2","Hello this is a test",UVM_LOW)
   end 
endmodule: hello_world_example

  • 3
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
UVM是一种面向对象的验证方法学,对于复杂的芯片验证任务,它具有高效可扩展的特点。搭建UVM验证环境可以有效提高芯片验证的效率和可靠性,下面就来介绍一下UVM验证环境搭建的实例。 1.准备工作 在搭建UVM验证环境前,需要准备好以下工具: • 模拟器:搭建UVM验证环境前需要先选定合适的模拟器。 • UVM库文件:从SystemVerilog UVM框架网站上下载UVM库文件,并将其添加到环境变量。 • 仿真脚本:根据项目需求书写仿真脚本,通常使用Shell或Tcl脚本。 2.编写环境代码 根据项目需求,编写UVM验证环境代码,通常可以分为以下几个部分: • Testbench代码:包含了环境的各种模块。 • Scoreboard代码:负责验证输入输出结果是否正确。 • Monitor代码:用于监测设计实例的波形输出。 • Agent代码:用于产生输入信号和接收输出信号。 3.配置和运行仿真 在编写完环境代码后,需要进行以下配置和运行仿真: • 设计时钟和重置时钟的设置,以确保仿真结果的正确性。 • 在仿真脚本指定仿真模型和仿真测试程序。 • 设定仿真时间,确保仿真能够在能够完成所有的测试。 • 开始仿真并检查仿真结果。 总之,在搭建UVM验证环境时,需要准备好所需的工具,编写出符合项目需求的环境代码,配置和运行仿真。通过以上步骤,可以有效提高芯片验证的效率和可靠性。
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

PPRAM

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值