【Synopsys工具使用】2.Verdi的使用


用VCS生成波形文件并用Verdi打开

  编写Makefile文件:

all:find com     

find:
    find -name "*.v" > file.list
com:                                                                        
    vcs -full64 -sverilog -debug_all -fsdb -f file.list -l com.log
sim:
    simv -l sim.log  

run_dve:                            
    dve -full64 -vpd vcdplus.vpd & 

dve_v:
    dve &

clean:                              
    rm -rf *.vpd csrc *.log *.key *.vpd simv* DVE* verdiLog *.fsdb *.bak *.conf

verdi_v:
    find -name "*.fsdb" > fsdbfile.list
    verdi -f file.list –ssf fsdbfile.list -nologo &

生成fsdb文件

  在tb文件中加入以下代码:

 initial begin
            $fsdbDumpfile("uart_tb.fsdb");
            $fsdbDumpvars(0);
 end

  看模块有多少层,如果模块不大 $fsdbDumpvars(0);参数填0,如果层数很大,填写3

  Verdi无法自己产生波形,所以需要先用VCS生成,可以按照【Synopsys工具使用】1.VCS使用与Makefile脚本调用生成波形;
  只不过在产生fsdb文件后,VCS的中端显示信息如下:
在这里插入图片描述  这表明生成波形后,波形数据被保存到fsdb文件中;
  在命令行中打入 make verdi_v 打开verdi
在这里插入图片描述  选择simulation -> Invoke Simulator在这里插入图片描述  创建一个新波形窗口
在这里插入图片描述在这里插入图片描述

  将信号拖入到窗口中
在这里插入图片描述  输入时长,再点击波形按钮
在这里插入图片描述  即可查看波形
在这里插入图片描述

使用Verdi查看逻辑原理图

  右击选中需要查看的模块,然后选择New_Schematic在这里插入图片描述在这里插入图片描述

  • 10
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

PPRAM

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值