【Synopsys工具使用】1.VCS使用与Makefile脚本调用


一、文件导入

  新建一个文件夹新建一个文件夹(图中IC_work)
在这里插入图片描述  创建一个目录,用来存放文件(图中test)
在这里插入图片描述  将要操作的文件复制到文件夹下:在这里插入图片描述  此时打开终端,输入命令ls可以查看文件是否存在。在这里插入图片描述

二、VCS仿真(使用可视化界面)

  输入下面指令,对设计文件进行编译:

vcs -full64 -sverilog -debug_all -timescale=1ns/ns uart_dtx.v uart_tb.v -l com.log

  输入后终端显示如下:
在这里插入图片描述  目标文件夹下会多出一些文件
在这里插入图片描述  输入以下指令,打开VCS可视化界面:

dve &

在这里插入图片描述  点击simulate -> set up
在这里插入图片描述
  选择simv文件,点击ok
在这里插入图片描述  右击文件,选择Add to Waves->creat new group
在这里插入图片描述  在dve终端输入run 1s表示总共跑1s
在这里插入图片描述  即可看到仿真波形;
在这里插入图片描述

三、VCS仿真(使用Maefile文件)

3.1 Makefile文件编写

c编写Makefile文件可以让上述过程变得更简单。
  输入gvim Makefile编辑Makefile文件,在其中写入以下内容。
  Makefile文件内容:

all:find com sim run_dve  

find:
    find -name "*.v" > file.list
com:                                                                        
    vcs -full64 +vcs+vcdpluson -sverilog -debug_all -f file.list -l vcs.log
sim:
    ./simv -l vcs.log  

run_dve:                            
    dve -full64 -vpd vcdplus.vpd &  

clean:                              
    rm -rf *.vpd csrc *.log *.key *.vpd simv* DVE*

find:
  执行 find -name “*.v” > file.list 指令,将目录下的所有.v文件名写入file.list文件中。
com:
  执行 vcs -full64 +vcs+vcdpluson -sverilog -debug_all -f file.list -l vcs.log 指令,对file.list列出的设计文件进行编译。其中+vcs+vcdpluson必须,可生成接下来的vcdplus.vpd文件。
sim:
  执行./simv -l vcs.log 命令,对设计文件进行仿真。
run_dve:
  执行dve -full64 -vpd vcdplus.vpd & 命令,启动可视化界面,并读入波形文件。


3.2 仿真文件编写规范

  使用Makefile进行仿真时,测试文件的编写需要满足一定的规范。
  示例的测试文件如下:

`timescale 1ns/10ps
module uart_tb (
);

        reg                 uart_ref_clk;//system clock reference
        reg                 uart_tx_nrst;//system reset signal
        reg  [31:0]    uart_baunds_div;//baunds rate division
        reg  [7:0]        uart_tx_data;//uart tx 8bits data input   
        reg          uart_tx_data_qvld;//send data valid signal
        wire            uart_tx_finish;//uart send data finish flag
        wire              uart_tx_busy;//uart tx module busy flag
        wire              uart_tx_dout;//serial data output

        initial begin
            uart_ref_clk = 0;
            forever begin
                #1 uart_ref_clk = ~uart_ref_clk;
            end
        end

        initial begin
               uart_tx_nrst = 0;
               uart_baunds_div = 4;
            #2 uart_tx_nrst = 1;

            uart_tx_data = 8'h33;
            uart_tx_data_qvld = 1'b1;
            #2 uart_tx_data_qvld = 1'b0;
        end

        initial begin
            #1000;
            $finish;
        end

         initial  begin
                $vcdpluson;
          end 

     uart_dtx uart_dtx_inist0(
        .       uart_ref_clk(       uart_ref_clk),//system clock reference
        .       uart_tx_nrst(       uart_tx_nrst),//system reset signal
        .   uart_baunds_div(  uart_baunds_div),//baunds rate division
        .      uart_tx_data(     uart_tx_data),//uart tx 8bits data input   
        . uart_tx_data_qvld(uart_tx_data_qvld),//send data valid signal
        .    uart_tx_finish(   uart_tx_finish),//uart send data finish flag
        .      uart_tx_busy(     uart_tx_busy),//uart tx module busy flag
        .      uart_tx_dout(     uart_tx_dout) //serial data output
);
endmodule 

  其中需注意,在第1行要添加`timescale 1ns/10ps仿真时间;
  第31-34行添加整个仿真结束命令&finish,当仿真文件执行到此处,则退出仿真。若缺少该语句,则Makefile会卡死在sim过程(vcs仿真过程)。也可以不使用KaTeX parse error: Expected 'EOF', got '&' at position 25: …须在命令中指出仿真结束时间。 &̲emsp; 第36-…vcdpluson来产生vpd文件。

3.3 Makefile文件使用

  使用Makefile文件来进行仿真,只需要打开终端,写入make all指令即可,输入命令后,终端显示如下说明仿真成功:
在这里插入图片描述  等待片刻后,会打开VCS可视化界面。
在这里插入图片描述  选中需要查看的信号,右击选择Add To Waves -> New Wave View即可观察波形。
在这里插入图片描述
在这里插入图片描述

  • 1
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
Synopsys工具是一种用于电子设计自动化的软件工具。它提供了各种功能,帮助设计工程师完成芯片设计、验证和优化工作。这些工具包括CAD、仿真器、布局与布线工具等,可以加速和改进电子芯片设计的过程。 脚本是一种编程语言,用于自动化处理和控制Synopsys工具。它可以通过编写脚本来实现一系列的操作,如设置工具参数、运行仿真、进行设计分析等。脚本的好处是可以减少重复的繁琐工作,提高效率,并且可以对多个工具进行集成操作。 模板是指预定义的脚本框架,用于帮助设计工程师快速开始开发自己的脚本。模板提供了一些常见功能的示例代码,包括工具命令的调用、设计文件的读取与转换、数据处理与分析等。设计工程师可以根据需求,修改和扩展这些模板,以满足自己的具体设计需求。 使用Synopsys工具脚本和模板可以带来很多好处。首先,它们可以大大提高芯片设计的效率和质量,减少了手动操作的错误。其次,他们可以帮助设计工程师快速理解和上手Synopsys工具使用方法。此外,脚本和模板还可以增强工程师之间的协作,因为他们可以共享和复用一些已经开发好的脚本和模板,避免重复劳动。 总之,Synopsys工具脚本和模板是在电子设计自动化领域中非常重要的工具和技术,它们的使用能够显著提高芯片设计的效率和质量。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

PPRAM

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值