FPGA学习记录_按键控制流水灯实验

        今天继续昨天的流水灯实验,不过今天尝试加入按键来进行控制,通过按键来控制LED的显示效果。

1 实验内容

        此次实验中我将使用芯路恒AC620开发板进行实验,通过开发板上的两个按键来控制4个LED灯。不同按键按下时,LED灯显示不同的效果。

2 硬件电路设计

                                                                      图 2.1  按键电路原理图

          如图所示,当按键未按下时,输出高电平,按键按下时,输出低电平。本次实验用到的管脚分配如下表所示:

<
端口名称   I/0 功能描述 对应管脚
Clk50M input 模块的工作时钟,频率为50Mhz

PIN_E1

Rst_n
  • 7
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值