verilog实现基于Cordic算法的双曲函数计算

       Cordic算法可以用FPGA硬件来实现三角函数,向量旋转,指数函数以及三角函数等数值计算,它是一种从一般的矢量旋转方程中推导得出。采用用不断的旋转求出对应的正弦余弦值,是一种近似求解法。旋转的角度很讲求,比如求取正余弦函数值时每次旋转的角度必须使得 正切值近似等于 1/(2^N)。旋转的目的是让Y轴趋近与0。把每次旋转的角度累加,即得到旋转的角度和即为正切值。如图1所示为Cordic基本原理示意图,图2位求解三角函数示意图。



图1 Cordic基本原理


图2 Cordic求解三角函数

     显然Cordic算法只需要简单的硬件电路就可以实现。根据旋转方向判定,可以选择模式或向量模式进行工作,并通过设置不同的初始条件可以实现特殊函数的数学计算,从而为该算法开创更广泛的应用空间。

在双曲坐标下,Cordic算法的迭代方程为:


  • 与其他Cordic计算不同,由于tanh(-1)(2^0)为无穷大,所以迭代序列须从(i=1)开始,可以将各i处的值计算好并存储在ROM中实现一个小的查找表(LUT)。并从收敛考虑序列i的取值从第4项开始每隔3i+1项须重复一次。n次迭代输出方程为:

  • 可以通过选择适当的初始值及多种操作模式组合完成tanh,exp的计算。下面是初步简单实现的Verilog代码,代码中同时有用到ISE自带的Cordic算法的IP核作了仿真对比:
  • [cpp]  view plain  copy
    1. module tanh_test(  
    2.         clk,  
    3.     rst_n,  
    4.     z0,//input  
    5.     en,//input  
    6.     cosh_out,  
    7.     sinh_out,  
    8.     e_out,//output  
    9.     busy_end//output  
    10.       
    11.     );  
    12.   
    13. input clk,rst_n;  
    14. input [31:0] z0;//16  
    15. input en;  
    16. output [31:0] sinh_out,cosh_out;//16  
    17. output [31:0] e_out;//16  
    18. output busy_end;  
    19.   
    20. reg en_buff;  
    21.   
    22. wire sub_busy;  
    23.   
    24. reg [31:0] cnt,h_cnt;  
    25. wire [31:0] sub_result;  
    26. reg busy_e;//  
    27.   
    28.   
    29. always @(posedge clk or negedge rst_n)  
    30. begin  
    31.          if(!rst_n)  
    32.              begin  
    33.              en_buff <= 0;  
    34.              busy_e <= 1'b1;//  
    35.              cnt <= 0;  
    36.              h_cnt <= 32'b0;  
    37.              end  
    38.          else begin  
    39.                   en_buff <= en;  
    40.               cnt <= cnt + 1;  
    41.                  end           
    42. end  
    43.   
    44. reg[31:0] angel [0:12];  
    45.   
    46. always @(posedge clk or negedge rst_n)  
    47. begin  
    48.       if(!rst_n)  
    49.       begin  
    50.        angel[0]<=32'b00000000000000001000110010011111;// 0.549306,1/2,tanh  
    51.            angel[1]<=32'b00000000000000000100000101100010;//0.255413,1/4  
    52.            angel[2]<=32'b00000000000000000010000000101011;//0.125657,1/8  
    53.            angel[3]<=32'b00000000000000000001000000000101;//0.062582,1/16  
    54.            angel[4]<=32'b00000000000000000001000000000101;//重复迭代一次  
    55.            angel[5]<=32'b00000000000000000000100000000000;//0.031260,1/32  
    56.            angel[6]<=32'b00000000000000000000010000000000;//0.015626,1/64  
    57.            angel[7]<=32'b00000000000000000000001000000000;//0.007813,1/128  
    58.        angel[8]<=32'b00000000000000000000000100000000;//0.003906250,1/256  
    59.            angel[9]<=32'b00000000000000000000000010000000;//0.001953125,1/128  
    60.        angel[10]<=32'b00000000000000000000000001000000;//0.0009765625,1/128  
    61.        angel[11]<=32'b00000000000000000000000000100000;//0.00048828125,1/128  
    62.        angel[12]<=32'b00000000000000000000000000010000;//0.000244140625,1/128  
    63.        //angel[8]<=17'b00000000000110011;//0.2,1/256,17'b00000000000110011  
    64.            //angel[9]<=17'b00000000000011001;//0.1,1/512,angel[9]<=17'b00000000000011001  
    65.      end  
    66. end  
    67. reg[31:0] reg_z[0:13];//1符号,15整数,16小数  
    68. reg[31:0] reg_x[0:13];  
    69. reg[31:0] reg_y[0:13];  
    70. reg[4:0] i;  
    71.   
    72. always @(posedge clk or negedge rst_n)  
    73. begin  
    74.          if(!rst_n)  
    75.          begin  
    76.              h_cnt <= 0;  
    77.              end  
    78.          else if(en && !en_buff)//&& (busy_e == 1'b1)  
    79.          begin  
    80.               reg_x[0] <= 32'b00000000000000010011010100011000;//(1/0.8282=1.2074)  
    81.               reg_y[0] <= 0;  
    82.               reg_z[0] <= z0;//初始值为v=1  
    83.              end  
    84.          else begin  
    85.              h_cnt <= h_cnt+1'b1;/  
    86.              for(i = 1;i <= 13;i = i+1'b1)  
    87.              begin  
    88.                    if(reg_z[i-1][31])  
    89.                    begin  
    90.                       reg_x[i] <= reg_x[i-1]-(reg_y[i-1]>>i);//z<0,d=-1,否则d=1  
    91.                       reg_y[i] <= reg_y[i-1]-(reg_x[i-1]>>i);  
    92.                       reg_z[i] <= reg_z[i-1]+angel[i-1];  
    93.                        end  
    94.                    else begin  
    95.                       reg_x[i] <= reg_x[i-1]+(reg_y[i-1]>>i);  
    96.                       reg_y[i] <= reg_y[i-1]+(reg_x[i-1]>>i);  
    97.                       reg_z[i] <= reg_z[i-1]-angel[i-1];  
    98.                    end  
    99.              end  
    100.                     
    101.              if(h_cnt >= 20)  
    102.              begin  
    103.                   busy_e <= 1'b0;  
    104.              end  
    105.                     
    106.          end  
    107. end  
    108.   
    109. assign sinh_out = reg_y[11];//<<4  
    110. assign cosh_out = reg_x[11];  
    111. assign e_out = sub_result;  
    112. assign busy_end =(sub_busy | busy_e);  
    113.   
    114. reg [15:0] in_phase;  
    115. wire [15:0] out_cosh;  
    116. wire [15:0] out_sinh;  
    117. initial  
    118. begin  
    119.      in_phase <= 16'b0010000000000000;//(1,第16位符号位,第15,14位整数位,13位小数位)  
    120. end  
    121.   
    122.   cordic_e your_instance_name (  
    123.            .phase_in(in_phase), // input [15 : 0] phase_in  
    124.            .x_out(out_cosh), // output [15 : 0] x_out  
    125.            .y_out(out_sinh), // output [15 : 0] y_out  
    126.            .clk(clk) // input clk  
    127.   );  
    128.   e_sub cosh_sub_sinh(  
    129.        
    130.         .clk(clk),  
    131.         .rst_n(rst_n),  
    132.         .sub_a(cosh_out),  
    133.         .sub_b(sinh_out),  
    134.         .sub_result(sub_result),  
    135.         .busy_e(busy_e),  
    136.         .sub_busy(sub_busy)  
    137.            
    138.    );  
    139.   
    140.   
    141. endmodule  

        仿真结果图,计算角度为1时的值:

(32'h00018aae=1.5417,sinh(1)真实值1.5431;32'h00012bfd=1.1718,cosh(1)=1.1752;32'h00005eb1=0.3699,e^(-1)=0.3679。使用IP核计算结果:16'h62d1=1.5440,16'h4b4b=1.1765)

  • 0
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值