FIR滤波器设计(包括Verilog HDL设计以及MATLAB设计)

FIR滤波器设计

滤波器原理:滤波器就是对特定的频率或者特定频率以外的频率进行消除的电路,被广泛用于通信系统和信号处理系统中。从功能角度,数字滤波器对输入离散信号的数字代码进行运算处理,以达到滤除频带外信号的目的。

有限冲激响应(FIR)滤波器就是一种常用的数字滤波器,采用对已输入样值的加权和来形成它的输出。其系统函数为:

其中表示延时一个时钟周期,表示延时两个周期。

对于输入序列X[n]的FIR滤波器可用下图所示结构示意图来表示,其中X[n]是输入数据流。各级的输入连接和输出连接称为抽头,系数被称为抽头系数。一个M阶的FIR滤波器将会有M+1个抽头。通过移位寄存器用每个时钟边沿n(时见下标)处的数据流采样值乘以抽头系数,并将它们加起来形成输出。

 

 

其verilog HDL设计代码为:

//FIR滤波器设计的verilog HDL程序  

module FIR(Data_out, Data_in, clock, reset);  

     output[9:0] Data_out;  

     input[3:0] Data_in;  

     input clock, reset;  

    wire[9:0] Data_out;  

     wire[3:0] samples_0,samples_1,samples_2,samples_3,  

                  samples_4,samples_5,samples_6,samples_7,samples_8;  

                  

    //例化模块  

     shift_register U1(.Data_in(Data_in), .clock(clock), .reset(reset),  

                             .samples_0(samples_0),.samples_1(samples_1),  

                             .samples_2(samples_2),.samples_3(samples_3),  

                             .samples_4(samples_4),.samples_5(samples_5),  

                             .samples_6(samples_6),.samples_7(samples_7),  

                             .samples_8(samples_8));  

       

     

       

    caculator U2(.samples_0(samples_0),.samples_1(samples_1),  

                            .samples_2(samples_2),.samples_3(samples_3),  

                            .samples_4(samples_4),.samples_5(samples_5),  

         
  • 11
    点赞
  • 144
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 18
    评论
评论 18
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值