自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Reborn Lee

个人微信公众号:FPGA LAB

  • 博客(25)
  • 资源 (36)
  • 收藏
  • 关注

原创 FPGA之道(总)推荐下这本书以及传递下作者的原话

还记得我第一次读这本书的时候,大概是研一下学期或研二的时候,去学校图书馆看到的,当时学校也仅有这一本书,很厚很大的一本书,看到这本巨作,爱不释手。由于自己也比较喜欢买书,想着下血本网上买一本属于自己的,就搜了下这本书,发现已经买不到了(现在倒是有很多盗版),当时就算是盗版也非常贵。还记得当时,桌子上放着借来的这本书,还有点不好意思,怕别人说自己装逼,可我真的是在认真研读这本书,由于后来由于项目...

2020-03-31 15:56:48 7670 159

原创 FPGA之道(77)静态时序分析(三)同步时序逻辑的分析原理

文章目录前言同步时序逻辑的分析原理逻辑锥的概念逻辑锥的划分逻辑锥的求解建立时间求解原理保持时间求解原理一般逻辑锥的求解一般建立时间求解一般保持时间求解前言同步时序逻辑的分析原理FPGA设计中最最常见并且占FPGA设计比重最大的就要数同步时序逻辑了,那么,经过了上一章节中示例的分析,接下来就让我们来简单了解一下针对同步时序逻辑的时序分析原理。逻辑锥的概念以直角三角形的一条直角边所在直线为旋...

2020-03-26 17:46:50 2453

原创 FPGA之道(76)静态时序分析(二)一道时序分析的例题

文章目录前言一道时序分析的例题解答一:能否正确工作分析解答二:最大时钟速率分析延伸二:最小时钟速率?解答三:保持时间不足情形分析前言本文来自于《FPGA 之道》,在正式讲解时序分析之前,作者给出了一道时序分析的例题,体会下人工分析时序的例子,挺有意思,一起看下。时序分析在FPGA当中,算是有点烧脑的内容,通过都是通过画图的方式理解,比较通俗,毕竟描述起来比较抽象,但是今天这篇博文不通过这种方...

2020-03-25 21:14:38 4880 5

原创 FPGA之道(75)静态时序分析(一)基本概念介绍

文章目录前言基本概念介绍常用时间参数介绍tsu建立时间要求建立时间余量th保持时间要求保持时间余量tcoMaximum frequency (or Minimum period)线延迟与门延迟影响延迟的因素温度与电压温度对延迟的影响电压对延迟的影响三种工况时钟信号的偏差描述时钟精度时钟漂移jitterskewslew rate前言本文来自于《FPGA之道》,一起看作者对于时序分析这一专题的理解...

2020-03-24 22:39:45 5030 1

原创 FPGA之道(74)Verilog生成语句

为什么要把这一节单独拎出来,因为个人原因,平时觉得用的Verilog生成语句,generate for居多,generate if以及generate case没用过,因此,也没在意过。

2020-03-24 11:57:44 2343 1

原创 FPGA之道(73)设计方法学与FPGA程序设计的境界

文章目录前言设计方法学讨论FPGA程序设计的境界前言本文节选自《FPGA之道》。设计方法学讨论当实现一个FPGA项目时,我们的主要工作其实不是敲击键盘编写出华丽丽的HDL代码,恰恰相反,思考如何编写出恰当的HDL代码会占用更多的时间。这就好比写作文前要先打草稿、先列大纲,写作过程中再对写作思路不断修正、斟字酌句一样,在开始FPGA项目的实现以及实现FPGA项目的过程中,也是少不了类似的过程...

2020-03-22 11:05:35 2093

原创 FPGA之道(72)提高设计的综合性能(四)提高设计的移植性与保密性

文章目录前言提高设计的移植性保持良好的代码风格按照硬件依赖性区分代码少使用专有IP核提高设计的保密性动态配置参数法采用具有保密性的技术前言本文节选自《FPGA之道》。提高设计的移植性移植是一个和重用有些类似的问题,不过还是有些区别。移植主要指的是系统级的拷贝、修改,而重用则主要指的是部分拷贝、修改。FPGA设计经常会涉及到移植的问题,例如公司第一代和第二代产品之间,它们总的来说大同小异,但...

2020-03-22 11:02:45 1523

原创 FPGA之道(71)提高设计的综合性能(三)提高设计的重用性与易改性

文章目录前言提高设计的重用性构建自己的IP库提高设计的易改性常量参数化模块设计结构参数化模块设计总线参数化规模参数化功能参数化参数化设计的参数管理与组织参数相关性可传递的模块参数化例化参数文件结构前言本文节选自《FPGA之道》。提高设计的重用性如果打算长期从事FPGA项目开发的话,那么你总不希望自己之前花了那么多精力编写、仿真、测试通过的HDL代码都是一次性用品吧。通常来说,我们都希望自己...

2020-03-22 10:58:38 1484

原创 FPGA之道(70)提高设计的综合性能(二)提高设计的自测性

文章目录前言提高设计的自测性增加测试管脚状态寄存器集虚拟示波器ChipScope&SignalTap自己编写VirtualScope编写激励发生测试模块前言本文节选自《FPGA之道》。提高设计的自测性也许在FPGA设计的板级测试之前,我们已经做了充分的功能和时序仿真,但是仿真毕竟是仿真,它与实际情况之间还是或多或少的存在一定的差距,因此基于FPGA的整个硬件系统必须进行充分的实际上...

2020-03-22 00:48:06 1459

原创 FPGA之道(69)提高设计的综合性能(一)提高设计的鲁棒性

文章目录前言提高设计的鲁棒性一些影响设计正常工作的原因非法输入环境干扰应对方法之输入预处理应对方法之RAM替换FIFO应对方法之状态机超时跳转应对方法之三模冗余应对方法之全局复位应对方法之静态重构应对方法之动态重构前言本文节选自《FPGA之道》。提高设计的鲁棒性鲁棒即是英文Robust的音译,而鲁棒性也就是健壮性、强壮性的意思。这个世界充满着意外,充满着不可预料的东西,因此,为了让FPGA...

2020-03-22 00:44:14 2642

原创 FPGA之道(68)原语的使用

文章目录前言原语的使用什么是原语需要使用原语的情况时钟相关原语差分输入、输出原语接口相关原语用原语表示IP核的好处UDP 简介前言本文节选自《FPGA之道》。原语的使用什么是原语原语,英文名称primitive,是FPGA软件集成开发环境所提供的一系列底层逻辑功能单元。由于是底层逻辑功能单元,所以它们往往跟目标FPGA芯片以及芯片厂商紧密相关,因此不同厂商、不同器件的原语往往不能通用。当...

2020-03-22 00:32:50 13993 2

原创 FPGA之道(67)代码中的约束信息(四)状态机的相关约束

文章目录前言状态机的相关约束fsm_extractfsm_stylefsm_encodingenum_encodingsafe_implementationsafe_recovery_state前言这是这个话题的第四篇,最重要的前言是本文节选自:《FPGA之道》。状态机的相关约束通常来说,编译器默认的状态机相关约束已经足够满足我们的需求,但也有的时候我们需要对个别状态机做“特殊关照”。此时...

2020-03-22 00:21:38 3829 2

原创 FPGA之道(66)代码中的约束信息(三)存储器以及寄存器的相关约束

文章目录前言存储器的相关约束ram_extractram_stylerom_extractrom_style寄存器的相关约束前言这是这个话题的第三篇,最重要的前言是本文节选自:《FPGA之道》。存储器的相关约束与乘法器类似,当我们需要使用RAM或ROM作数据存储时,通常的做法也是调用IP核来进行配置。因此,当涉及到大量不同存储模块调用或修改的时候,我们同样面临着令人头疼的工作。所以,掌握一...

2020-03-22 00:17:05 2165

原创 FPGA之道(65)代码中的约束信息(二)乘法器的相关约束

文章目录前言乘法器的相关约束use_dsp48mult_style前言这是这个话题的第二篇,最重要的前言是本文节选自:《FPGA之道》。乘法器的相关约束通常,FPGA开发者们无需显式的使用相关的乘法器约束,因为当需要使用乘法器时,一般都会调用集成开发环境提供的乘法器IP核,并在其中完成乘法器的相关配置即可。这也就是说,编译器通常帮我们完成了在HDL中添加乘法器相关实现约束的工作。不过大量I...

2020-03-22 00:10:37 2103

原创 FPGA之道(64)代码中的约束信息(一)保持约束

HDL中可以加入的约束信息有很多种,本章节就以Xilinx公司的FPGA芯片的集成开发环境为例,介绍一些常用的嵌入到HDL中的约束示例。而其它厂商的FPGA芯片的代码内约束添加方法均是雷同的。

2020-03-22 00:05:31 3500

原创 No IP specified. Please specify IP with ‘objects’

更新IP时候,出现这个报错:No IP specified. Please specify IP with ‘objects’。这些提示几乎起不到作用,我根本看不出出现了什么错误,没有指明IP?

2020-03-21 18:01:48 4612 2

原创 FPGA之道(63)“万能”的查表法

又好几天没更新了,这就是又停止了读书的节奏,终于在毕业论文可以稍微舒缓下来的时候更新了博客,完成一个系列,读完一本书等等都是有印记的,这是很有成就感的事情。

2020-03-18 22:16:10 5591

原创 word转pdf后公式出现乱码怎么办?

解决word转pdf后公式出现乱码的现象。

2020-03-18 16:30:29 14245

原创 MATLAB中如何用对数方式显示图形坐标?

正如标题所言,为了解决问题而作的一篇博文,很随意,但很简约。

2020-03-14 21:00:41 23650

原创 word中如何删除顽固的空白页?

如何删除word中顽固的空白页?

2020-03-09 21:24:54 5588

原创 Oral English 1: A topic about public transportaion in Chicago.

V: Thanks for coming over.R: No problem, so you need help with your report?V: Yes. I’m writing a report about public transportation in big cities.R: Cool. How can I help?V: You are from Chicago.V...

2020-03-06 00:14:47 1330

原创 FPGA之道(62)时空变换之空间换时间

时间不够主要体现在以下两方面: 一、规定时间内完成不了任务;二、规定时钟频率下时序分析通过不了。其中,第一个方面主要是算法的问题,碰到这种情况首先应该先考虑进行思路转换,看能否想出更加简洁、高效或适合的算法,如果实在不行,才考虑利用空间换时间的方法。而第二方面才是真正的时间余量不足的问题,需要正式采用空间换时间的思路来解决。

2020-03-04 16:27:21 2443

原创 FPGA之道(61)时空变换之时间换空间

本小节我们主要关注当空间不够时,该如何通过以牺牲时间为代价,来换取空间方面余量的提升,即时间换空间的方法。当然,FPGA设计中可以运用时间换空间方法的前提条件是此时时间方面必须具有一定的余量。

2020-03-03 16:08:30 2387

原创 FPGA之道(60)时空变换之空域优化

在做空域优化时,往往是不以牺牲时域余量为前提的,因为它的目的主要是去除空域内部的一些冗余。

2020-03-03 12:24:31 1663

原创 FPGA之道(59)时空变换之时域优化

“没钱的时候有时间,有钱的时候却又没时间”,这世上很多人都有着这样的经历和困惑。而对于FPGA开发者来说,类似的经历和困惑也普遍存在,此时矛盾往往就演变成了“没空间的时候有时间,有空间的时候没时间”。那么怎样做才能达到一个“有刚刚好的钱又有刚刚好的时间”的平衡点呢?本章节将就此展开讨论,并给出一些努力的方向。

2020-03-01 19:02:44 2069

反馈清零以及反馈置数计数器(内含Verilog HDL代码及文档)

任意模值计数器包含反馈清零计数器以及反馈置数计数器等,本文档给出了反馈清零计数器和反馈置数计数器的verilog设计代码以及文档描述,仿真等

2018-05-19

模60计数器(通过计数器级联得到)

下面描述的是一个模60计数器,该计数器通过将模10计数器和模6计数器级联的方式构成,每当模10计数器计数到1001时,模6计数器就会计数加1,直至计数到60时,即模6计数器到达0101、模10计数器到达1001时,计数状态又回到00000000,然后重新计数。

2018-05-19

数字时钟计数器(包含代码及说明文档)

简单的数字时钟计数器,其实现方法也是通过计数器的级联,由两个模60计数器和一个模24计数器子模块共同构成,下面的这段代码采用结构性描述方法,U1,U2,U3为调用的两个模60计数器和一个模24计数器子模块,模60计数器实现分秒的计数,模24计数器实现小时的计数。

2018-05-19

乘法器的verilog HDL设计汇总

从做实验遇到Wallace树乘法器开始,对乘法器的理解受到了阻碍,于是接下来的一个星期,专门研究汇总乘法器的verilog HDL设计,最终算是大概完成。这里给出了7种乘法器的设计。希望遇到问题而无助的你能够找到方向。

2018-05-15

移位相加乘法器的verilog HDL设计代码

从被乘数的最低位开始判断,若为1,则乘数左移i(i=0,1...(WIDTH-1))位后,与上一次和相加;若为0,则乘数左移i位后,以0相加。直至被乘数的最高位。

2018-05-15

流水线乘法器verilog HDL代码设计

多级流水线结构,是一种并行的方式,将相邻的两个部分的结果再加到最终的输出乘积上,即排列成一个二叉树形式的结构。

2018-05-15

串行乘法器verilog HDL设计代码

移位相加法乘法器设计原理是从被乘数的最低位开始判断,若为1,则乘数左移i(i=0,1...(WIDTH-1))位后,与上一次和相加;若为0,则乘数左移i位后,以0相加,直至被乘数的最高位。

2018-05-15

查表法乘法器verilog HDL设计代码及其测试文件

查找表乘法器就是将乘积放在存储器中,将操作数作为地址访问存储器,得到的输出结果就是乘法器的运算结果。这种乘法器的运算速度就等于所使用的存储器的速度,一般用于较小规模的乘法器。

2018-05-15

复数乘法器的verilog HDL设计代码及其测试文件(修改版)

本压缩文件包括复数乘法器以及其测试文件,复数乘法器本身原理简单,但其乘积项运用到了Wallace树乘法器,所以本代码是在Wallace树乘法器的基础上的复数乘法器。

2018-05-15

向量乘法器的verilog HDL设计代码及其测试文件(修改版)

本压缩文件包括向量乘法器以及其测试文件,向量乘法器本身原理简单,但其乘积项运用到了Wallace树乘法器,所以本代码是在Wallace树乘法器的基础上的向量乘法器。

2018-05-15

向量乘法器的verilog HDL设计代码

基于Wallace乘法器生成乘积项,向量乘法器自然而然得到。

2018-05-14

复数乘法器的verilog HDL设计代码

复数乘法器本身十分很简单,这里复数乘法器的乘积项的计算调用了wallace树乘法器,故本乘法器的verilog HDL代码中包括了wallace树乘法器模块。仔细内容请浏览我的博客。

2018-05-14

Wallace树乘法器专题研究

看了很多个博客,看了很多本书,就为了研究一个wallace树乘法器,研究了几天,没有任何一个资源把这个问题写的仔仔细细,明明白白,痛苦万分。功夫不负有心人,经过几天的研究以及同学的帮助,最终解决了这个问题,于是同大家分享。希望你们遇到这个问题能够前进地更容易点。

2018-05-14

Wallace树乘法器verilog代码

在乘法器的设计中采用树形乘法器,可以减少关键路径和所需的加法器单元数目,Wallace树乘法器就是其中的一种。下面以一个4*4位乘法器为例介绍Wallace树乘法器及其Verilog HDL实现。

2018-05-14

巴克码相关器设计

巴克码相关器能够检测巴克码序列峰值,并且能够在1bits错误的情况下检测巴克码序列峰值。

2018-05-05

数字频率计verilog代码

设计一个8位数字显示的简易频率计。能够测试10Hz~10MHz的防波信号。电路的基准时钟为1Hz,要求测量值以8421BCD码形式输出。系统有复位键。

2018-05-05

chapter2_clocks_resets

文中谈到了FPGA以及ASIC设计中的复位策略,对于实际工程实践以及笔试面试还有专业人员阅读都是很好的资料,复位是一个常谈的话题,这个文档就能让你明白复位的设计。

2020-06-10

AN10007-Jitter-and-measurement

时钟抖动问题很常见,也是笔试面试常考的内容,该文档提供了时钟抖动的定义以及测量方式,对于想要了解时钟抖动的学生以及专业人士可以参考。

2020-06-10

Best-FPGA-Development-Practices-2014-02-20

这是一篇讲解FPGA开发的论文,从PCB设计、RTL设计以及FPGA设计的各种流程都有涉及,有兴趣的可以看下!

2020-06-10

aurora_8b10b_0_ex_framing.7z

这是一个用户接口格式为framing格式的aurora协议工程,配合博客使用:https://reborn.blog.csdn.net/article/details/106088264 详细分析在博客上。

2020-05-14

aurora streaming工程例子程序.zip

自己定制的一个通道的aurora IP核生成的例子程序,包含仿真,仅仅是为了学习aurora协议,streaming 用户接口。

2020-05-13

KC705.rar压缩包

资源内容包括Kc705相关资料,原理图(kc705_Schematic_xtp132_rev1_1)(ug810_KC705_Eval_Bd)(ug883_K7_KC705_Eval_Kit)(xapp554-xadc-layout-guidelines)

2019-12-31

阵列信号处理及其MATLAB实现

很多人求之不得的一本书,这本书对于阵列信号处理的学习至关重要,可以这么难说,弄懂了这本书,你的科研道路将会容易很多,但是弄懂也不容易哦。

2018-11-15

Spartan-6 Libraries Guide for HDL Designs

本文档是Spartan-6的设计元素用户手册,包括各种原语,IP核以及硬件宏等底层资源的详细讲解。

2018-08-11

EV10AQ190(ADC采样芯片)数据手册

本ADC采样芯片说明书说明了ADC采样的工作模式,以及一些时序图,对硬件的学习很有帮助!

2018-07-15

西电数值分析ppt合集

数值积分是一门重要的学科,展现了一些列运算的数值计算方法,这些方法可以直接应用到计算机中,例如微积分运算,曾在高等数学中计算的方法,不适合用于编程实现,或者计算机实现,而数值积分正是研究这些问题的,数值积分内容很多,需要认真研究。本教程只包括知识点的介绍,不包括计算机编程的知识。

2018-07-12

数值分析总览

本讲义为数值分析讲义的绪论,大体介绍了数值分析的发展,以及数值分析解决的一些列问题,让我们对数值分析有一个总体的认识,这是后面学习的一个铺垫,同时,本教程也讲了绝对误差,相对误差,有效数字以及它们之间的关系,仔细阅读能让人受益匪浅。

2018-06-28

插值与曲线拟合专题

插值问题和函数逼近问题都可归结为函数逼近问题,本文档重要讲插值法,包括一般插值概念,由于其局限性,由此引申到拉格朗日插值,牛顿插值,分段低次插值等等。

2018-06-28

信号产生器

内含状态转移类型信号产生器、移位寄存器型信号产生器、计数器加组合输出网络类型信号产生器

2018-05-20

8421BCD码计数器(内含文档及Verilog HDL设计代码)

计数器实现的模制为24,clr为异步清零信号,当时钟上升沿到来或clr下降沿到来, clr = 0时,计数器清零为0000_0000。该计数器的计数过程为,当输出信号的低4位(即 dout[3:0])从0000计数到1001后(即十进制的0 ~ 9),高4位(即dout[3:4])计数加1,当计数计到23时(即0010_0011),计数器又清零为0000_0000,然后重新开始计数。

2018-05-19

可逆计数器(内含文档及Verilog HDL设计代码)

可逆计数器是一种双向计数器,可以进行递增计数,也可以进行递减计数,根据计数控制信号的不同,在时钟脉冲的作用下,计数器可以进行加1或减1的操作。 下面描述的是一个位宽为4的可逆计数器,即该计数器在不同控制信号下可以分别实现加法计数和减法计数的功能。

2018-05-19

环形计数器、扭环计数器(内含文档及verilog HDL代码)

移位型计数器包括环形计数器以及扭环计数器,原理差不多,几乎相同,但又各自有各自的精彩之处。本资源包括二者的Verilog HDL设计代码以及文档分析。

2018-05-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除