Quartus-II实现D触发器的三种方式

一、认识D触发器

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的基本逻辑单元,也是数字逻辑电路中的一种重要的单元电路。

二、在Quartus-II中自己用门电路设计一个D触发器及仿真

(一)创建工程

  • File->New Project Wizard
  • 填写工程名称
    在这里插入图片描述
  • 选择芯片系列及类型
    在这里插入图片描述
  • 一直点next直到完成

(二)创建方框文件

  • 选择new,选择Block Diagram/Schematic File
    在这里插入图片描述
  • 选择nand2和not,依次添加四个nand2和一个非门not
    在这里插入图片描述
    在这里插入图片描述
  • 选择连线工具
    在这里插入图片描述
  • 最终效果图
    在这里插入图片描述
  • 保存

(三)编译原理图文件

  • 选择编译
    在这里插入图片描述

  • 选择Tools->Netlist Viewers->RTL Viewer
    在这里插入图片描述

  • 硬件电路图
    在这里插入图片描述
    (四)创建vwm格式波形文件

  • new->University Program VWF
    在这里插入图片描述

  • 选择Edit->Insert->Insert Node or Bus
    在这里插入图片描述

  • 添加Node or Bus
    在这里插入图片描述

  • 效果图
    在这里插入图片描述

  • 选中CLK,产生时钟信号
    在这里插入图片描述

  • 选择D,Q信号Q1,进行编辑
    双击对应部分,对value进行修改
    在这里插入图片描述
    (五)时序波形仿真
    点击时序仿真,仿真结果如下
    在这里插入图片描述

三、在Quartus-II中直接调用一个D触发器及仿真

(一)创建工程
过程如上
(二)创建方框文件

  • 调用D触发器
    在这里插入图片描述
  • 效果图
    在这里插入图片描述
    (三)编译原理图文件
  • 编译
    在这里插入图片描述
  • 查看硬件电路图
    在这里插入图片描述
    (四)创建vwm格式波形文件并进行时序仿真
  • 创建vwm文件
  • 编辑波形
  • 时序仿真结果
    在这里插入图片描述

四、在Quartus-II中用Verilog语言写一个D触发器及仿真

(一)创建工程
方法如上
(二)编写Verilog文件

  • new->Verilog HDL File
    在这里插入图片描述

  • 代码

//dwave是文件名
module dwave(d,clk,q);
    input d;
    input clk;
    output q;

    reg q;

    always @ (posedge clk)//我们用正的时钟沿做它的敏感信号
    begin
        q <= d;//上升沿有效的时候,把d捕获到q
    end
endmodule

  • 保存并编译
    在这里插入图片描述

(三)查看生成的电路图

在这里插入图片描述

(四)利用Verilog语言编写测试代码并进行时序仿真

  • 测试代码
//测试代码
`timescale 1ns / 1ns

module dwave_tb;
    reg clk,d;
    wire q;

    dwave u1(.d(d),.clk(clk),.q(q));

    initial
    begin
        clk = 1;
        d <= 0;
        forever
        begin
            #60 d <= 1;//人为生成毛刺 
            #22 d <= 0;
            #2  d <= 1;
            #2  d <= 0;
            #16 d <= 0;//维持16ns的低电平,然后让它做周期性的循环
        end
    end

    always #20 clk <= ~clk;//半周期为20ns,全周期为40ns的一个信号
endmodule

  • 仿真效果
    在这里插入图片描述

五、参考

Quartus-II13.1三种方式实现D触发器及时序仿真
Modelsim SE版本的安装及使用方法
Quartus-II实现D触发器的三种方式

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值