RTL仿真

Verbi

testbench

时钟激励:

  • always # 10 clk=~clk;
  • initial forever #5 clk=~clk

随机数:

  • a={$random}%30;    //0-30之间的随机数
  • a=$random%30;    //-30-30之间的随机数

同步数据

  • @(posedge/negedge clk)   //时钟沿执行一次

打印

  • $display(“XXX”);   //语法同C的print

延时:

  • 阻塞正规延时:#3 out1 = in;  //T+N时刻将T+N时刻的in赋值给sum,小于延迟时间的输入变化被忽略
  • 非阻塞正规延时:#3 out2 <= in;  //T+N时刻将T+N时刻的in赋值给sum,小于延迟时间的输入变化被忽略
  • 阻塞内定延时:out3 = #3 in;  //T+N时刻将T时刻的in赋值给sum,小于延迟时间的输入变化被忽略
  • 非阻塞内定延时:out4 <= #3 in;  //T+N时刻将T时刻的in赋值给sum
  • 持续赋值延时:assign #3 out5 = in;  //惯性延迟,小于延迟时间的输入变化被忽略

TIM图片20200419003345

timescale设置:

  • 每个module头部设置
  • VCS仿真命令添加-timescale 1ns/1ps
  • 新建一个V文件,只有一行`timescale定义,在f文件首行引用
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值