使用开源RTL仿真器iverilog

前言

linux下的硬件仿真工具VCS, NC, Questasim都是商业软件,如果想自己在自己机器上跑点小例子,那么通常人就需要去破解这些商业软件。这些年版权的意识越来越强,破解真不是一个好方法。不如使用一些开源的仿真器,来跑自己的小例子。本文要讲的iverilog是目前开源仿真器的不二选择。

安装

首先安装好git, 如果没有就是官方网站直接下载压缩包后,再解压缩。

git clone https://github.com/steveicarus/iverilog.git

cd iverilog
sh autoconf.sh
./configure && make && make install

但一般不会这么顺利的。
有心人会发现在iverilog目前下没有configure文件,所以不知道怎么办,其实只要去官方网站上看一下就明白它是用autoconf.sh来生成configure文件的。
但我一开始执行会报错,原因是少了gperf
可以从下面链接下载源码
然后解压缩, 执行./configure && make && make install就可以了

当然如果还有错要去装一下autoconf。

使用

总体来讲,iverilog和其实simulator没大区别,就是先编译,然后运行。
选项大约有

选项说明
-D macro[=def ]定义宏
-I incdir等同于-incdir
-o filename指定输出的可执行文件名
-s topmodule等同于-top
-y libdir等同于-y

比如:

iverilog -s top -o cnt top.v cnt.v
vpp cnt

波形

可以使用下面代码

initial
begin
  $dumpfile("test.vcd");
  $dumpvars(0,top);
end

来dump波形, 然后用gtkwave来查看
gtkwave是开源的查看波形的软件,需要单独安装

后言

iverilog主要是一个仿真器,它的不足在于没有调试器,一般商业调试器如NC集成的simvision, VCS集成的DVE, 或者单独的软件verdi。不过只进行学习, iverilog也足够了。复杂的场景还是在公司里跑的吧。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值