- 博客(4)
- 资源 (1)
- 收藏
- 关注
原创 Verilog
一. 门级结构描述 D触发器module dflop(q,qb,clear,data,clk); input clear,data,clk; output q,qb; not U5(net4,data); nand U1(net1,clear,data,clk); nand U6(net5,net4,clk);
2017-02-06 19:45:10 986
原创 用VHDL设计交通灯
一. 程序代码 1. 分频模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY fen_pin ISPORT( CLK : IN STD_LOGIC; CLK_1 : OUT STD_LOGIC ); END fen_pin;ARCHITECTURE BEHAV OF fen_pin IS
2017-02-06 17:39:40 13792 3
原创 VHDL
一. 四位加法计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt4b is port ( clk : in std_logic; rst : in std_logic; ena : in
2016-10-30 23:37:08 2041
原创 操作系统(一) 进程管理
进程 进程,就是运动中的程序代码块,它是“活的”。于是,程序与进程可以理解为,死人与活人的区别。既然进程是“活的”,那么它必定就有“活着”的几种状态——就绪,执行,阻塞。 就绪,进程已具有了运行的能力,但是CPU还不能分配给它;执行,进程占用CPU,并在CPU上面运行;阻塞,正在执行的进程,由于等待某个事件发生而无法执行时,便放弃CPU而处于阻塞状态。引起进程阻塞的事件可有多种,例如,等待I/O
2016-10-30 11:30:35 459
dma_axi-master.zip
2019-12-29
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人