自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 资源 (1)
  • 收藏
  • 关注

原创 Verilog

一. 门级结构描述 D触发器module dflop(q,qb,clear,data,clk); input clear,data,clk; output q,qb; not U5(net4,data); nand U1(net1,clear,data,clk); nand U6(net5,net4,clk);

2017-02-06 19:45:10 958

原创 用VHDL设计交通灯

一. 程序代码 1. 分频模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY fen_pin ISPORT( CLK : IN STD_LOGIC; CLK_1 : OUT STD_LOGIC ); END fen_pin;ARCHITECTURE BEHAV OF fen_pin IS

2017-02-06 17:39:40 13766 3

原创 VHDL

一. 四位加法计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt4b is port ( clk : in std_logic; rst : in std_logic; ena : in

2016-10-30 23:37:08 2028

原创 操作系统(一) 进程管理

进程 进程,就是运动中的程序代码块,它是“活的”。于是,程序与进程可以理解为,死人与活人的区别。既然进程是“活的”,那么它必定就有“活着”的几种状态——就绪,执行,阻塞。 就绪,进程已具有了运行的能力,但是CPU还不能分配给它;执行,进程占用CPU,并在CPU上面运行;阻塞,正在执行的进程,由于等待某个事件发生而无法执行时,便放弃CPU而处于阻塞状态。引起进程阻塞的事件可有多种,例如,等待I/O

2016-10-30 11:30:35 446

dma_axi-master.zip

filelist: dma_axi64.v dma_axi64_dual_core.v dma_axi64_apb_mux.v dma_axi64_reg.v dma_axi64_reg_core0.v prgen_scatter8_1.v dma_axi64_core0_top.v dma_axi64_core0.v dma_axi64_core0_wdt.v dma_axi64_core0_arbiter.v dma_axi64_core0_ctrl.v dma_axi64_core0_axim_wr.v dma_axi64_core0_axim_cmd.v dma_axi64_core0_axim_timeout.v dma_axi64_core0_axim_wdata.v prgen_joint_stall.v prgen_fifo.v prgen_stall.v dma_axi64_core0_axim_resp.v dma_axi64_core0_axim_rd.v dma_axi64_core0_axim_rdata.v dma_axi64_core0_channels.v dma_axi64_core0_channels_apb_mux.v dma_axi64_core0_channels_mux.v prgen_or8.v prgen_mux8.v prgen_demux8.v dma_axi64_core0_ch.v dma_axi64_core0_ch_reg.v dma_axi64_core0_ch_reg_size.v prgen_rawstat.v dma_axi64_core0_ch_offsets.v dma_axi64_core0_ch_remain.v dma_axi64_core0_ch_outs.v dma_axi64_core0_ch_calc.v dma_axi64_core0_ch_calc_addr.v dma_axi64_core0_ch_calc_size.v prgen_min3.v prgen_min2.v dma_axi64_core0_ch_calc_joint.v dma_axi64_core0_ch_periph_mux.v dma_axi64_core0_ch_fifo_ctrl.v dma_axi64_core0_ch_wr_slicer.v prgen_swap_64.v prgen_swap_32.v dma_axi64_core0_ch_rd_slicer.v dma_axi64_core0_ch_fifo_ptr.v dma_axi64_core0_ch_fifo.v dma_axi64_core0_ch_empty.v prgen_delay.v

2019-12-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除