用VHDL设计交通灯

本文介绍了使用VHDL编程语言设计交通灯控制系统的过程,包括分频模块和控制模块的设计,通过IEEE库和VHDL实体实现交通灯的逻辑控制。详细代码未在摘要中展示。
摘要由CSDN通过智能技术生成

一. 程序代码
1. 分频模块

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; 
ENTITY fen_pin IS
PORT(          CLK : IN  STD_LOGIC;
             CLK_1 : OUT STD_LOGIC ); 
END fen_pin;

ARCHITECTURE BEHAV OF fen_pin IS 
  SIGNAL  A : INTEGER RANGE 0 TO 2499;
  SIGNAL  Q : STD_LOGIC;

  BEGIN
    PROCESS(CLK)   ----5000分频得到1Hz的频率(假设系统频率为5KHz)
    BEGIN             
      IF CLK'EVENT AND CLK='1' THEN
        IF A=2499 THEN
              Q <= NOT Q;
              A <= 0;
        ELSE  A <= A+1;END IF;
      END IF;
    END PROCESS;

  CLK_1 <= Q;
 END;          
<
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值