模块整理!YOLOv9中的“Silence”、“RepNCSPELAN4”、“ADown”、“CBLinear”创新模块汇总!


 专栏地址:目前售价售价69.9,改进点70+

专栏介绍:YOLOv9改进系列 | 包含深度学习最新创新,助力高效涨点!!!


本文对YOLOv9中出现的新模块进行整理


1.  Silence模块

        模块介绍:Silence是YOLOv9中便于辅助分支调用输入到网络中的原始img的模块,模块自身不进行运算,输出与输入无变化,模块代码:

class Silence(nn.Module):
    def __init__(self):
        super(Silence, self).__init__()
    def forward(self, x):    
        return x

2.  RepNCSPELAN4模块

        RepNCSPELAN4是YOLOv9中的特征提取-融合模块,类似前几代YOLO中的C3、C2f等模块。作者通过结合两种神经网络架构,即带有梯度路径规划的 CSPNet 和 ELAN,考虑轻量化推理速度准确性设计出的一种广义高效层聚合网络(GELAN),作者使用带有 CSPNet 块的 GELAN 替换了 ELAN,并 RepConv作为计算块。RepNCSPELAN4可拆分为RepN-CSP-ELAN4 ,代码及模块图如下:

class RepNCSPELAN4(nn.Module):
    # csp-elan
    def __init__(self, c1, c2, c3, c4, c5=1):  # ch_in, ch_out, number, shortcut, groups, expansion
        super().__init__()
        self.c = c3//2
        self.cv1 = Conv(c1, c3, 1, 1)
        self.cv2 = nn.Sequential(RepNCSP(c3//2, c4, c5), Conv(c4, c4, 3, 1))
        self.cv3 = nn.Sequential(RepNCSP(c4, c4, c5), Conv(c4, c4, 3, 1))
        self.cv4 = Conv(c3+(2*c4), c2, 1, 1)

    def forward(self, x):
        y = list(self.cv1(x).chunk(2, 1))
        y.extend((m(y[-1])) for m in [self.cv2, self.cv3])
        return self.cv4(torch.cat(y, 1))

    def forward_split(self, x):
        y = list(self.cv1(x).split((self.c, self.c), 1))
        y.extend(m(y[-1]) for m in [self.cv2, self.cv3])
        return self.cv4(torch.cat(y, 1))


3.  ADown模块

        ADown是YOLOv9中的下采样模块,模块中使用卷积、平均池化、最大池化完成下采样操作,代码及结构图如下:

class ADown(nn.Module):
    def __init__(self, c1, c2):  # ch_in, ch_out, shortcut, kernels, groups, expand
        super().__init__()
        self.c = c2 // 2
        self.cv1 = Conv(c1 // 2, self.c, 3, 2, 1)
        self.cv2 = Conv(c1 // 2, self.c, 1, 1, 0)

    def forward(self, x):
        x = torch.nn.functional.avg_pool2d(x, 2, 1, 0, False, True)
        x1,x2 = x.chunk(2, 1)
        x1 = self.cv1(x1)
        x2 = torch.nn.functional.max_pool2d(x2, 3, 2, 1)
        x2 = self.cv2(x2)
        return torch.cat((x1, x2), 1)


4.  CBLinear模块

        CBLinear是YOLOv9用于辅助分支的一个模块,包含一个卷积模块,将经过一次卷积后得到的张量拆分成包含为1-N个元素的元组,模块代码和结构如下:

class CBLinear(nn.Module):
    def __init__(self, c1, c2s, k=1, s=1, p=None, g=1):  # ch_in, ch_outs, kernel, stride, padding, groups
        super(CBLinear, self).__init__()
        self.c2s = c2s
        self.conv = nn.Conv2d(c1, sum(c2s), k, s, autopad(k, p), groups=g, bias=True)

    def forward(self, x):
        outs = self.conv(x).split(self.c2s, dim=1)
        return outs


包含模块、卷积、检测头、损失等改进,目前已有70+!

⭐入手价$ 69.9,打造全站最具性价比的YOLOv9改进项目!⭐

联系QQ: 2668825911 ,欢迎交流!

 

  • 10
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
语音合成是将文字转换为语音的过程,FPGA可以实现高效的并行计算,因此可以用FPGA实现一个语音合成模块。以下代码实现了一个简单的语音合成模块。 ```verilog module speech_synthesizer( input clk, // 时钟信号 input rst, // 复位信号 input [7:0] input_text, // 输入的文本 output reg [15:0] audio_out // 输出的音频信号 ); // 定义状态机状态 localparam IDLE = 2'b00; localparam READ_CHAR = 2'b01; localparam GENERATE_AUDIO = 2'b10; // 定义状态机变量 reg [1:0] state; reg [7:0] current_char; reg [15:0] current_audio; reg [3:0] audio_count; // 定义语音合成参数 parameter [7:0] silence = 8'h00; // 静音 parameter [7:0] A = 8'h01; // 声音1 parameter [7:0] B = 8'h02; // 声音2 parameter [7:0] C = 8'h03; // 声音3 // 定义语音合成表 reg [7:0] speech_table [26:0]; initial begin speech_table[0] = A; speech_table[1] = B; speech_table[2] = C; // ... end // 状态机 always @(posedge clk) begin if (rst) begin state <= IDLE; current_char <= 8'h00; current_audio <= silence; audio_count <= 0; end else begin case (state) IDLE: begin if (input_text != 8'h00) begin state <= READ_CHAR; current_char <= input_text; end end READ_CHAR: begin state <= GENERATE_AUDIO; end GENERATE_AUDIO: begin if (audio_count == 0) begin current_audio <= speech_table[current_char - 97]; // 97是'a'的ASCII码 if (current_audio == silence) begin state <= IDLE; end else begin audio_count <= 125; // 125个时钟信号为一个音频周期 end end else begin audio_count <= audio_count - 1; end end endcase end end // 输出音频信号 always @(posedge clk) begin if (rst) begin audio_out <= 16'h0000; end else begin if (state == GENERATE_AUDIO) begin audio_out <= {current_audio, current_audio}; // 输出立体声音频信号 end else begin audio_out <= {silence, silence}; end end end endmodule ``` 这个模块有一个状态机,包括空闲状态(IDLE)、读取字符状态(READ_CHAR)和生成音频状态(GENERATE_AUDIO)。当输入的文本不为0时,状态机进入读取字符状态,读取下一个字符并进入生成音频状态;生成音频状态会根据当前字符选择相应的语音合成参数,输出音频信号。每个音频周期包括125个时钟信号,输出一个立体声音频信号。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值