Xilinx FPGA ML605 开发笔记——简单中断实现

本文介绍了如何在Xilinx FPGA ML605开发板上利用PUSH_BUTTON实现中断功能,并详细阐述了如何将LED与GPIO的连线从输出改为输入输出。通过修改mhs和UCF文件,配置GPIO引脚,最终在SDK中完成相关功能的编码,实现了按按钮时LED全灭的跑马灯效果。
摘要由CSDN通过智能技术生成

上一篇文章http://blog.csdn.net/stormragewang/article/details/39581545介绍了如何使用LED显示跑马灯,在这篇博客中将介绍下如何使用PUSH_BUTTON发送中断,同时对上一篇文章中如何修改LED与GPIO的连线为IO做一个详细的介绍。

首先还是通过Xilinx Platform Studio创建一个XPS工程


后面选择开发板的型号照旧


再选择自己需要的外设,我选择了RAM、LED、PUSH_BUTTON和UART,注意将PUSH_BUTTON的“使用中断”选项选中


这样工程就创建好了


下面详细介绍下如何修改LED与GPIO的连线为IO线

未修改前我们可以看到连线的详细情况如下(默认为输出线):


Virtex:registered:-6 FPGA ML605 评估套件为那些需要高性能、串行连接功能和高级存储器接口的系统设计提供了开发环境。ML605 得到了预验证的参考设计和行业标准 FPGA 夹层连接器(FMC)的支持,能够利用子卡实现升级和定制。集成式工具有助于简化符合复杂设计要求的解决方案的创建。 配置 板上配置电路(USB 到 JTAG) 16MB Platform Flash XL 32MB 并行(BPI)Flash 带有 2GB Compact FLASH(CF)卡的 System ACE CF 通信与网络 10/100/1000 三速以太网(GMII、RGMII、SGMII、MII) SFP 收发器连接器 带有4个 SMA 连接器的 GTX 端口(TX、RX) USB 到 UART 桥 USB 主端口和 USB 外设端口 PCI Express x8 边缘连接器(利用 Virtex-6 LX240T-1 芯片,卡支持高达 x4 Gen2) 存储器 DDR3 SO-DIMM(512 MB) BPI 线性 Flash(32 MB)(还可用于配置) IIC EEPROM(8 Kb) 时钟技术 200 MHz 振荡器(差分) 66 MHz 插座振荡器(单端) 用于外部时钟(差分)的 SMA 连接器 带有2个 SMA 连接器的 GTX 参考时钟端口 输入/输出和扩展端口 16x2 LCD 字符显示器 DVI 输出 系统监视器 用户按钮(5)、DIP 开关(13)、LED(13) 带有2个 SMA 连接器的用户 GPIO 2个 FMC 扩展端口 高引脚数(HPC) 8个 GTX 收发器 160个 SelectIO 低引脚数(LPC) 1个 GTX 收发器 68个 SelectIO 功耗 12V 插墙式适配器或 ATX 2.5V、1.5V、1.2V 和 1.0V 电源的电压和电流测量功能
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值