自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

光年之外

美妙人生的关键在于迷上什么!

  • 博客(74)
  • 资源 (1)
  • 收藏
  • 关注

原创 开机必启截图标注类神器Snipaste,基本使用及技巧

Snipaste基本使用及技巧

2024-05-27 21:43:27 551

原创 总线协议:AMBA APB 2.0协议理论部分的归整

目录概念接口时序优劣小结概念Master:在 APB 总线里面,数据的传输只能由主机发起,其他部分响应主机操作。Slave:在 APB 总线里面,数据的回复由从机完成,从机只能完成主机发起的操作,自己不能发起操作然后完成操作。单主机:在 APB 总线里面, 有且只有一个主机可以连接到 APB 总线接口,其他的只能连接从机(外设),所以 APB 总线只支持单主机模式。总线:在 APB 总线中, 有地址线(PADDR),命令线(PWRITE、 PENABLE、 PESEL)和数据线(PRDATA、

2022-03-08 07:30:10 851

原创 椭圆曲线:不定方程的难解问题

目录概念问题小结概念Kurt Hensel提出:一次二次容易,三次四次困难,五次以及五次以上不可能(没有求解公式)。一般书籍会提及一元一次二次方程的求解,三次四次也有万能公式,但很少提。1、不定方程定义。又称为丢番图方程,勾股定理和孙子定理就是关于不定方程(组)求解的重要成果。2、费马大定理。法国终身以法律为师为业的天才业余数学家,费马在1630年左右阅读Arith-maticae的第2卷第85页的平方和问题时,用拉丁文写下的一段话(转英语这里)。3、代数基本定理。根据代数基

2022-03-06 09:07:54 1146

原创 椭圆曲线:椭圆曲线是怎么来的?

目录说明关于去年关于今年小结说明去年(2021年03月30)的一天,我写下了《最近的一些思考》这篇文章。如今一年过去了,期间我把这篇文章忘了,但不得不说,这篇文章里提到事,这一年来我都有在坚持做,虽然也许有些偏差,但大方向总是对的。我很想把2021年所经历的事,一一描述放进时间胶囊里,但时间就好像海水,一直推着我往前走。只能把事情稍微概括下,然后说说今年应该做些啥吧!关于去年本科论文答辩、毕业分别;1、南亭租房生活、学术讨论;(那时的我还在不断学习AI领域的知识)2、集创赛、去了趟福州、在

2022-03-06 08:55:50 2336

原创 Lytain:PCWin10纯净专业版重装与程序员的高效部署

目录一、引言二、准备三、系统重装四、简约处理五、引言一、小结一、引言直到我面临着四台电脑重装的尴尬局面前,我一直不想写这种文章。我花了一些时间做了一些重复的查找工作,直到第四台电脑重装时,浏览器的书签已经完全堆满了各种各样的“额的绝死”。看着那一堆的网址,我开始动手写这篇博客,也就是你现在看到的。电脑的重装其实很简单,根据教程来走,一下子就能复现。但作为一个程序员,面对各种各样的装机方式,应有自己的一个方向。这么多年下来,个人觉得,Windows上比较适合个人开发的环境,应该满足简约无广告,资源高

2021-08-03 21:11:06 1918 5

原创 深度学习:补充篇1——Anaconda+PyCharm+Jupyter+PyTorch CPU+PyTorch GPU环境搭建

目录一、引言二、Anaconda环境搭建一、引言一、引言一、引言一、引言一、引言前段时间,写了一篇文章记录PyTorch软件安装相关的准备,在这篇文章里。由于中途有事,很长时间没去认真学PyTorch了,后电脑重新安装,重新装PyTorch时,打算把这个环境变得比较的好,因此出现了这个补充篇。我认为比较好的一个PyTorch开发环境,是Anaconda+PyCharm+Jupyter。在PyCharm中可以方便的使用Python相关语法的补充,在Jupyter中可以方便的分布式进行语句学习与注释。

2021-08-01 13:10:02 900

原创 MicroBlaze:串口、定时器中断的学习与测试实验

目录一、引言二、串口中断三、定时器中断四、待补内容一、引言1、AXI UART IP。AXI UART IP 核提供了 AXI4-Lite 接口,可以通过 AXI4-Lite 接口读取状态寄存器或配置 UART Control 模块(复位收发 FIFO、启用中断)。在之前用到了UART,但并没有使用到中断,这里使用下串口的中断。上图为 AXI UART IP 核的顶层框图。AXI Interface 用于寄存器访问和数据传输的 AXI4-LITE 从接口。UART Lite Registers

2021-05-28 21:23:40 6217 2

原创 MicroBlaze:按键中断的学习与测试实验

目录一、引言二、AXI INTC三、按键中断四、测试结果一、引言中断是一种当满足要求的突发事件发生时通知处理器进行处理的信号。中断可以由硬件处理单元和外部设备产生,也可以由软件本身产生。对硬件来说,中断信号是一个由某个处理单元产生的异步信号,用来引起处理器的注意。对软件来说,中断还是一种异步事件,用来通知处理器需要改变代码的执行,不过轮询所产生的中断的过程是同步的。二、AXI INTCAXI Interrupt Controller,为中断控制器IP,能将外围的多个中断输入,集中到单个中断输

2021-05-28 10:55:51 4020 2

原创 MicroBlaze:Xilinx官方软核学习与一些实验测试

目录一、引言二、HelloWorld实验三、AXI_GPIO实验四、相关文献引言一、引言1、MicroBlaze简介。用于做嵌入式处理操作的软核,来加速系统设计。与传统独立CPU相比,软核嵌入式处理器同样有较高的处理能力,并且在可构建多核系统、可定制取舍等方面均优于传统CPU。下图是传统的系统架构与SOPC架构,即较新的可编程片上系统。MicroBlaze 是 Xilinx 公司提供的一款 32/64 位软核嵌入式处理器,是一款高度灵活可配置的易用型处理器, 它能够利用 FPGA 内部通用资源和

2021-05-28 09:21:43 11856 3

原创 HLS:卷积神经网络LeNet5的实现与测试

目录一、引言二、LeNet5的学习三、数学知识补充四、HLS代码设计引言引言一、引言1、开发环境。Windows10、Vivado2018.2、Vivado HLS与Xilinx SDK。2、LeNet5概述。1994年,CNN网络,手写字符识别与分类,确立了CNN结构,适合入门。LeNet5共分为7层:C1,卷积层S2,池化层C3,卷积层S4,池化层C5,卷积层F6,全连接层OUTPUT,全连接层二、LeNet5的学习LeNet5每层结构内容的学习。1°输入层INPU

2021-05-27 22:04:08 10398 11

原创 HLS:关于channel方向上分块的理解

这是一篇解释的文章,主要是填补我在HLS的Conv和Pool部分时的一个坑!首先,感谢一波ESELAB蓝同学,不断被询问下,我也理解了之前的这个点。原创好文。1、首先,回顾下卷积中的一些概念。在卷积的过程中,输入特征用feature_in表示,不分块的话,是一个三维的数据,即feature_in的长W、宽H和张数C。卷积核用kernel表示,不分块的话,是一个四维的数据,即kernel的长Kx、宽Ky、一个channel上的相同卷积核的数目K和不同卷积核的数目CHout。用下面的图片表示。除了fe

2021-05-11 23:35:58 629 2

原创 深度学习:PyTorch学习之库内容初级实验

目录一、引言二、张量部分三、自动求导四、神经网络包和优化器五、线性回归六、参考文献一、引言代码参考:《深度学习入门之基于PyTorch和TensorFlow的理论与实现》。代码源可以参考这里。会在实验与学习中加入一些自己的理解。二、张量部分1、创建张量。张量是PyTorch里基本的运算单位,类似NumPy中的数组。但张量可以在GPU版本的PyTorch上运行,可NumPy中的数组只能在CPU版本的PyTorch上运行。1°创建一个随机初始化的 Tensor 。x = torch.rand

2021-05-11 13:23:34 245 2

原创 机器学习:矩阵求导的知识学习与实验

目录一、引言二、矩阵运算案例三、求导初试四、常见公式五、参考文献一、引言这部分参考B站GRNovmbrain博主的视频和一些网上的其他资料进行学习。一些后续继续学习的资料包括:1、MIT线代课程。2、mathematics for machine learning书籍,可以在这里下载。第139页专门讲了矩阵求导方面的知识。3、其他Up的视频。空狐公子、西凉阿土伯。二、矩阵运算案例先解决一些基本语法问题,再对比使用NumPy库和纯代码方式实现的矩阵乘法时间差异。1、关于Python中的

2021-05-11 12:45:39 391

原创 深度学习:PyTorch学习之软件准备与基本操作

目录一、概述二、工具准备三、conda命令四、PyTorch的安装五、Jupyter修改默认路径六、参考文献一、概述PyTorch可以认为是一个Python库,可以像NumPy、Pandas一样被调用。PyTorch和NumPy功能是类似的,可以将PyTorch看作用在神经网络(深度学习)里的NumPy,并且加入了GPU支持的NumPy(原生NumPy不支持GPU)。目前,应用最广、热度最高的深度学习框架为PyTorch和TensorFlow。本系列先从PyTorch开始,后面有机会再去弄Terso

2021-05-10 19:26:21 1814 8

原创 效率:如何迅速把文件中的字符替换为回车

Sublime在Sublime中,按如下步骤。1、ctrl + h 打开替换窗口2、在find中输入待替换字符3、replace with里输入 ctrl + shift + enter如下图所示。

2021-05-09 10:14:54 789

原创 TVM:深度学习框架编译器的安装踩坑集

目录一、引言二、流程一、引言4月底的时候,花了一天时间安装TVM这个东西。那时,上来就弄TVM 0.8的版本,但因为具体安装的流程不是很懂,所以出现了一些莫名其妙的问题。后来,直接改用TVM0.6版本,一路顺风。但,今天(5月7日)时,打算仔细看下教程,发现0.6版本的,已经没了,只剩下0.8的教程,行吧!还是得回头安装0.8的,但之前怎么安装的,又给忘了,于是乎,一顿操作后,直接弄好了,打算写篇文章讲讲这个东西的安装。二、流程安装的流程很简单,网上也有许多教程了,这里先详细地讲下。1°代码

2021-05-07 12:57:17 4854 5

原创 VIM:如何永久显示行号?

基本步骤1°切换到home的个人用户下。2°使用vim .vimrc新建.vimrc文件。后续vim启动时,都会自动加载vimrc文件,因此,所有关于vim的配置,都可以放在这个文件里。3°命令模式下,:wq退出就好,下次启动就会显示行号了。Note:尽量少改/etc/vimrc文件,该文件需要root权限,且会对所有的用户生效。同时,vimrc中的配置尽量少用缩写,因为后续别人也需要看。...

2021-04-27 12:20:25 635

原创 GitHub:本地项目如何上传GitHub上

目录一、前言二、新建工程三、导入源码一、前言使用git,来把自己的一个项目放到GitHub上。二、新建工程登陆后新建工程,取个名字。三、导入源码1°新建的工程中,提供了导入源码的三种方式,这里使用第一种。应。!应。应。应。应。...

2021-04-26 21:51:01 794

原创 HLS:卷积运算单元设计与SDK测试

目录引言引言引言引言引言引言这应。!。。引言这应。!。。引言这应。!。。引言这应。!。。引言这应。!。。**

2021-04-21 13:10:59 2568 6

原创 HLS:测试平台中图片加载与显示功能

目录一、引言二、cvLoadImage三、imread四、视频流载入五、摄像头源六、时间线一、引言当我们进行前期算法验证的时候,需要读取图片进行仿真,那么关键的一步就是如何加载图片进行测试。需要特别注意的,所有过程都是在HLS工具上实现的,相当于搭建了一个图像的处理平台,不过这个平台的输入源于软件,输出到软件上,后续中间FPGA算法模块的开发,是基于这个图像处理平台的。方法: 使用openCV的一些函数,cvLoadImage函数加载图片。imread函数读取图片。视频流载入。外部摄像头载入。No

2021-04-20 11:34:10 996

原创 HLS:专业点灯工程师闪烁、流水、键控与呼吸

目录一、声明一、闪烁灯一、声明学门技术,最常见的就是点灯了,HLS也不例外。这里把LED的闪烁、流水、按键控制和呼吸模式都弄了。第一次搞,工程就按四个的搞吧,后面如果还有这种多模块的,就用setting方式。一、闪烁灯1、led_twinkle.h文件。#ifndef __LED_TWINKLE_H__#define __LED_TWINKLE_H__// HLS提供的任意精度定点数文件#include "ap_fixed.h"#define DELAY 25000000voi

2021-04-19 17:22:37 1170

原创 HLS:Parallel Programming for FPGAs书籍学习笔记_引导页

目录一、引言二、目录一、引言HLS相关的书籍其实不是很多,之前看到社区翻译了一本,内容很好,想搬运到自己博客上,除了做些笔记外,也方便后续学习时不断补充。之前考虑过和作者一样使用GitBook,因为CSDN这种格式还是挺好看的,就选择这边了。单纯为了学习而用,个人的笔记用一个比较突出的颜色:#ffa201。原文地址:https://xupsh.github.io/pp4fpgas-cn/二、目录章节备注时间序言2021年03月最新校正2021年04月18日前言

2021-04-18 19:11:57 430

原创 HLS:Parallel Programming for FPGAs书籍学习笔记_序言

目录一、第一版序言二、第二版校订序三、Note一、第一版序言利用FPGA进行算法加速和实现已经被广泛认知,但对于很多没有FPGA和HDL设计经验的开发者而言,往往又觉得开发门槛较高,因此全球相关的科研和工程人员都在致力于如何将FPGA技术介绍给更多的开发者,使更多人从FPGA的并行性,高性能,低功耗,灵活配置中获益。其中,Vivado HLS(高层次综合)就是一个成功的代表。通过Vivado HLS 工具中,开发者可利用C/C++语言对FPGA进行编程,这项技术已经趋于成熟,在Xilinx客户的工程实

2021-04-18 19:01:02 854

原创 HLS:数据类型学习与综合测试

目录一、引言二、自定义精度整型三、自定义定点数四、实验程序五、实验测试六、补充内容七、时间线一、引言在HLS中使用float、double等浮点数据类型,会消耗大量的FPGA资源。本次Lab学学HLS中的很多数据类型。二、自定义精度整型任意精度的整数。除了一些常用的乘加运算,还有如下的。1、Concatenation运算:拼接运算,可以把两个ap_int<>变量拼起来,后面一列说了用法。2、Range运算:取出某些bit位。用于长位宽数据中,某些bit可能有特殊含义时使用。

2021-04-13 17:58:23 1954 1

原创 HLS:接口完整学习与综合测试

目录一、引言二、案例三、实验四、小结五、时间线一、引言之前HLS工具帮忙生成的m_axi和s_axi接口,可以很简单的挂接到PS端,进行通信。这次学习的是其他接口的lab,这些接口虽然不能直接与PS端进行通信,但与dma等模块进行组合后,也可以完成与PS端的通信。之所以要学其他接口,是因为m_axi和s_axi接口功能特定,无法自己更改成自己想要的读写方式。HLS生成电路:接口功能+模块功能,都可以按照自己的想要的生成。涉及的接口:ap_hs、ap_fifo、ap_ack、ap_vld、ap_o

2021-04-13 16:14:04 2077

原创 HLS:池化运算模块设计与SDK测试

目录一、引言二、初步设计三、优化操作四、AXI_HP接口综合五、上板测试六、补充部分七、时间与参考一、引言主要涉及:人工修改C代码来引导HLS工具(为啥改,改哪),AXI_HP接口综合(作为Master),内存申请与共享。二、初步设计1、pool.h文件。#ifndef __POOL_H__#define __POOL_H__#include "ap_fixed.h"// 特征图参数#define K 8#define OUT_W 50// 定义两个宏函数#define MIN

2021-04-12 16:21:48 1406 2

原创 2021年04月10日:突然就想开个鸡汤本

别吃辣椒,别吃辣椒,别吃辣椒!!!远离橘子,远离橘子,远离橘子!!!至于为啥,后边再聊

2021-04-10 12:25:20 97

原创 HLS:矩阵乘法单元设计与SDK测试

目录一、引言二、程序框架三、初步设计四、报告分析五、优化操作六、接口优化引言引言一、引言矩阵乘法,设计数组优化、循环优化和接口优化等。是一个学习HLS非常好的Lab。HLS新建工程这些就不记录了,在新建时有个需要注意,就是Clock Period的设置,如果设为10,会按照100MHz时钟来进行优化,如果一次运算15ms,就会分配到两个时钟来计算。但HLS工具预估是比较保守的,比如预估一次运算15ms,但实际上板卡后这个运算,就只要7ms。因此可以把周期稍微放大些/减轻约束压力,让HLS在做优化时,

2021-04-10 12:07:37 3114 6

原创 FPGA_ISP:图像处理的基础

目录一、图像采样与量化二、可见光传感器三、色彩空间百、时间线一、图像采样与量化多数图像传感器的输出,是连续的电压波形信号,幅度与空间特性与感知光照相关。为产生一幅数字图像,需经过采样和量化,转为数字形式。采样频率:1s内采样的次数,即采样间隔的倒数。采样频率越高,获得的数字图像越逼真。Nyquist定理:图像的采样频率,必须大于或等于源图像最高频率分量的两倍。量化:要使用多大范围的数值,来表示图像采样之后的每一个点。量化的结果是图像能容纳的颜色总数,其反映了采样的质量。例如:4位存储一点,则图像

2021-04-05 09:57:14 2680

原创 FPGA_ISP:图像处理引导文

目录一、引言二、索引列表三、时间线记录一、引言暂定参考学习书籍:《基于FPGA的数字图像处理原理及应用》。二、索引列表序号索引内容0图像处理的基础所有的基础都放这1图像处理平台搭建HDMI回环测试平台2Modelsim平台搭建VGA图像时序产生3RGB转YCbCr格式输出YCbCr的模块4图像的二值化处理二值图像输出模块5图像中值滤波操作3*3中值滤波模块6边缘检测的操作边缘检测模块7待定待定

2021-04-05 05:21:56 561

原创 FPGA_WT:可编程逻辑阵列在哪些领域应用?

目录一、引言二、FPGA领域三、一些想法四、方向一、引言这是在原子中看到的一个概括,写的很好,专门精简摘抄下来。二、FPGA领域总共六个:通信、DSP、ISP、高速接口、AI和IC验证。通信领域FPGA容易实现分布式的算法结构,可实现无线通信中的高速数字信号处理(经常需要滤波,而滤波包含大量的乘和累加操作,FPGA可以有效地实现)。并且,在FPGA内部集成了大量适合通信领域的资源,比如:基带处理(通道卡)、接口和连接功能、RF(射频卡)三大类。DSP领域FPGA的高速并行处理能力,适合于

2021-04-04 21:54:48 235

原创 FPGA_WT:设计流程分析

因为内容不多,也不是很难懂,直接记录了。传统FPGA的设计流程包含5个步骤:设计输入,设计综合,设计实现,设计调试与程序固化。1° 在设计输入上,支持VHDL、Verilog、System Verilog或者厂商的IP,比如我最近接触的digilent的IP。同时,还应该包括管脚约束和时序约束相关文件。2° 在设计综合上,要先认识综合的概念,综合是把HDL代码转化为了硬件电路,但还没有进行实现,也就是做了个翻译的工作。举个例子,你写的D触发器可能变成了FDRE,异或运算变成了查找表。3° 在设计

2021-03-31 08:27:58 140

原创 FPGA_ISP:IIC控制器的设计

目录一、引言二、协议介绍三、协议时序四、设计任务五、一些说明六、程序设计一些思考一、引言IIC协议最早是我在学习单片机时接触的。那会,IIC协议就是作为器件间进行交互的两线协议,只要把几个功能函数的时序设计出来,IIC模块也就适用了这一类的外设。但后来接触了FPGA,却一直没想过用输入输出的方式实现IIC,原因是觉得很麻烦,直到后来接触了FPGA控制摄像头,才明白这东西的重要性。和以往GPIO来模仿IIC时序的方式相比,FPGA直接产生的时序,非常精准,实时性也高,而且具备一定的通用性,在摄像头配

2021-03-30 16:57:52 1220

原创 EEPROM???

EEPROMElectrically Erassable Programmable Read Only Memory,E2PROM。电可擦除可编程只读存储器。一种常用的非易失性存储器,掉电数据不丢失。EEPROM有多种类型的产品,常见的如ATMEL的AT24C系列的AT24C02、AT24C64等(其实我遇到过的也就这种)。AT24C64:具有高可靠性、数据可保存100年,并可多次擦写,擦写次数可达100万次。存储容量64Kbit,内部分成256页,每页32个字节,总共8192个字节,读写操作以字

2021-03-30 14:55:10 900

原创 2021年03月30日:最近的一些思考

目录引子关于学习关于生活关于未来引子一开始的打算,是不按部分的写一段最近的感受,后来想了想,为了几年后看这篇文章时,还记得这时的想法,还是稍微分类下。不知道这种文章,有没有有缘人看到,纯属我个人的一思喜好吧,就像时间胶囊一样。关于学习最近的事情其实很多,考试、比赛和毕设。时间没到,总提不上兴趣。大部分时间花在了学习FPGA本身的知识上。关于生活反复看了几样节目:极限挑战前四季、肖生克的救赎、功夫、九品芝麻官等。刚开始是出于有趣的内容,弹幕。后来慢慢演变为喜欢、喜爱。不知为何,现在这个年代,很多

2021-03-30 11:36:58 199 3

原创 FPGA_HP:AXI4的学习与使用1——基础知识积累

目录引言基本概念AXI Interconnect握手协议突发式读写其他引言本部分解决Zynq里的一个麻烦点——AXI4协议。在AMBA的发展史上,其诞生于AMBA4,由AXI3发展而来。AXI3一般在PS端内部的外设间通信常见,而AXI4在PL端自己设计的模块间通信很常见,而PL与PS的通信,常常使用AXI4(后续只针对AXI4),若PS的接口只支持AXI3,也有专用的协议转换接口,很方便。本技术文,仅记录学习中的一些笔记和心得,尽量做到将AXI4的东西覆盖掉,但一些很常见的,全网都有的,也不会过多

2021-01-21 18:27:10 2496 2

原创 SystemGenerator:数字信号处理之开发准备、流程预演

文章目录前言1、开发准备2、实际流程预演参考文献前言本系列开端先学习B站“ Rong晔 ”博士的视频,作为FPGA在DSP用途学习的引子,视频做的非常之好,万分感谢!以下为Lytain的个人笔记,包含大量个人理解内容,若有错误,望指正。很喜欢这些夹带私货极少的前辈,再次感谢,无以言表。1、开发准备我的软件:VIVADO2018.2、MATLAB2018a、System Generator。Note:版本的选择需要相关联才能联合使用(可直接看第6点)。 打开:https://www.x

2020-12-18 20:47:53 1353 2

原创 Modelsim:从入门到熟悉的踩坑和问题总结

文章目录前言一、窗口信号路径隐藏二、界面说明三、波形窗口的快捷键四、主窗口和源代码窗口的快捷键五、波形窗口颜色设置六、设置显示时间单位七、信号与信号值查找八、Modelsim打开错误九、自动仿真环境搭建十、参考文献十一、时间记录前言一些modelsim的使用问题,这些问题是学习和熟悉Modelsim环境的重大障碍,有时在开发过程中,处理这些问题会浪费大量时间,同时提升开发周期。故将这些问题补充与本文中,方便查找。很多也是我个人的踩坑记录。一、窗口信号路径隐藏可以通过以下步骤设置,默认是没有隐藏的

2020-12-17 15:22:55 7183 3

原创 模拟集成:IC5141中出现的cds.lib is read only问题

找了很久才解决,下面是答案,我就不翻译了,真厉害这些前辈,03年就在讨论这些问题。

2019-11-02 10:23:29 2821 1

原创 模拟集成:小信号模型中的跨导解释

右键上拉回来:1、写在前面的话2、一段数学的对话3、三种小信号模型01 只考虑栅源电压02 在01基础上考虑沟道长度调制效应03 在02的基础上再考虑体效应4、补充1、写在前面的话2333,好久没来了,这段时间压力有些大,好在一切回到正轨。这次详细讲下跨导是什么~,学模集这东西太重要了。2、一段数学的对话先看个东西,纯数学上的概念。数学中我们有一个经典的思想:微分思想。设函数y=f(...

2019-10-27 08:42:26 8410 2

第四届模拟题--自动售水机.rar

51单片机:蓝桥杯省赛自动售水机分析与完整设计,含有完整的注释和思路,时序什么的测试都没有问题!

2019-09-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除