MicroBlaze:串口、定时器中断的学习与测试实验


一、引言

1、AXI UART IP。

AXI UART IP 核提供了 AXI4-Lite 接口,可以通过 AXI4-Lite 接口读取状态寄存器或配置 UART Control 模块(复位收发 FIFO、启用中断)。在之前用到了UART,但并没有使用到中断,这里使用下串口的中断。
在这里插入图片描述

上图为 AXI UART IP 核的顶层框图。AXI Interface 用于寄存器访问和数据传输的 AXI4-LITE 从接口。UART Lite Registers 包括内存映射寄存器。它由一个控制寄存器、一个状态寄存器和一对发射/接收 FIFO 组成,两个 FIFO 都有 16 个字符的深度。当接收 FIFO 变为非空或当发送 FIFO 变为空时,生成上升沿敏感的中断。此中断可以通过使用中断启用/禁用信号来屏蔽。UART Control(UART 控制模块)模块包括 Rx Control(接收控制)、Tx Control(发送控制)、BRG (Baud Rate Generator)和 Interrupt Control(中断控制)四个模块。

当 AXI UART 接收到上位机发送的数据后, Rx Control 模块根据 BRG 模块产生的波特率将串行的数据转化成并行数据,写入接收 FIFO,然后处理器通过 AXI4-Lite 接口读出接收 FIFO 中的数据;处理器中的数据也可以通过 AXI4-Lite 接口写入发送 FIFO,然后经 Tx Control 根据 BRG 模块产生的波特率将并行数据转化为串行数据发送出去。

实验任务:根据 AXI UART IP 核产生的中断信号,完成串口数据的收发。

2、AXI Timer IP。

AXI Timer IP 核提供了一个 AXI4 Lite 接口用于与处理器通信;它内部有两个可编程的定时器,具有中断、事件生成和事件捕获功能, 用户可根据自身需求选择 8、 16、 32 位定时器的计数宽度;通过对两个定时器联合操作,可以输出一个脉宽调制信号,我们可以通过该信号控制一些外设,如 LED 等;我们也可以对两个 32 位宽的定时器进行级联操作,生成一个 64 位宽的定时器; 在软件调试期间冻结停止计数器的输入。
在这里插入图片描述
上图为 AXI Timer IP 核的结构框图。AXI4-Lite Interface(AXI4-Lite 接口)被设计成 AXI4-lite 从接口,用于访问内存映射的定时器寄存器,我们也可以通过该接口对各个寄存器模块进行配置。Timer Registers (定时器寄存器)模块是一组 32 位寄存器。这组寄存器包含加载寄存器(Load Register)、定时器/计数器寄存器和控制/状态寄存器(Control/Status Registers)。加载寄存器保存用于事件生成的计数器的初始值或捕获值。控制/状态寄存器包含定时器模块的控制位和状态位。32-bit Counters(32 位寄存器)定时器/计数器模块有两个 32 位计数器,每个计数器可设置为递增或递减计数,并可从加载寄存器中加载一个值。Interrupt Control 中断控制模块根据操作模式生成单个中断。Pulse Width Modulation (PWM,脉宽调制)能够产生具有指定频率和占空比的脉冲信号 PWM0。它使用 Timer0 作为 PWM0 周期, Timer1 作为 PWM0 输出宽度。

实验任务:通过定时器产生中断,控制 LED 灯闪烁。


二、串口中断

1、系统框图。
在这里插入图片描述
由上图系统框图可知, AXI UART 模块产生中断信号,中断控制器检测到中断信号后,产生输出中断信号,最终传入 MicroBlaze 软核处理器。

2、BlockDesign搭建。
在这里插入图片描述
3、vitis代码。

#include "xil_exception.h"
#include "xdebug.h"
#include "xparameters.h"
#include "xintc.h"
#include "xuartlite.h"
#include "xuartlite_l.h"

#define UART_DEVICE_ID XPAR_UARTLITE_0_DEVICE_ID     //串口器件ID
#define UART_INTR_ID   XPAR_INTC_0_UARTLITE_0_VEC_ID //串口中断ID
#define INTC_ID        XPAR_INTC_0_DEVICE_ID         //中断控制器ID

#define RX_NOEMPTY     XUL_SR_RX_FIFO_VALID_DATA     // 接收FIFO非空

static XIntc Intc;          //中断控制器实例
static XUartLite Uart;      //串口实例

void uart_handler(void *CallbackRef);

int main(void){
    //初始化串口设备
    XUartLite_Initialize(&Uart , UART_DEVICE_ID);
    //初始化中断控制器
    XIntc_Initialize(&Intc, INTC_ID);
    //关联处理函数
    XIntc_Connect(&Intc, UART_INTR_ID,(XInterruptHandler)uart_handler,&Uart);
    //使能串口
    XUartLite_EnableInterrupt(&Uart);
    //打开中断控制器
    XIntc_Start(&Intc, XIN_REAL_MODE);
    //使能中断控制器
    XIntc_Enable(&Intc,UART_INTR_ID);
    //设置并打开中断异常处理功能
    Xil_ExceptionInit();
        Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
                (Xil_ExceptionHandler)XIntc_InterruptHandler , &Intc);
        Xil_ExceptionEnable();
    while(1);
}

void uart_handler(void *CallbackRef)//中断处理函数
{
    u8 Read_data;
    u32 isr_status;
    XUartLite *InstancePtr= (XUartLite *)CallbackRef;

    //读取状态寄存器
    isr_status = XUartLite_ReadReg(InstancePtr->RegBaseAddress ,
                                   XUL_STATUS_REG_OFFSET);
    if(isr_status & RX_NOEMPTY){  //接收FIFO中有数据
        //读取数据
        Read_data=XUartLite_ReadReg(InstancePtr->RegBaseAddress ,
                                    XUL_RX_FIFO_OFFSET);
        //发送数据
        XUartLite_WriteReg(InstancePtr->RegBaseAddress ,
                           XUL_TX_FIFO_OFFSET, Read_data);
    }
}

4、使用串口助手验证。
在这里插入图片描述
吐槽一下,有的串口助手真的很无语,不时就发生Bug,做测试时可能经常需要用多个调试助手来试下。


三、定时器中断

1、系统框图。
在这里插入图片描述
AXI Timer 产生中断, MicroBlaze 处理器通过中断控制器的中断请求信号控制 LED 闪烁,AXI UART 打印处理器发送的信息。

2、添加 AXI Timer 模块。

进入配置页面,本次实验只是用定时器中断功能,因此不需要对 Capture(捕获)、 Generate(生成)进行配置。

  • Enable 64-bit mode(使能 64 位模式):选择该选项后 AXI Timer 的两个 32 定时器会被级联成一个 64 位寄存器,选用该模式后, Enable Timer2 选项会被禁用。一般情况下我们都是使用 32 位模式就可以了,只有在需要的寄存器超过了 32 位才用该模式。
  • Width of the Timer/Counter(定时器/计数器位宽) (bits): 根据用户需求选择 8、 16、 32 位计数位宽。
  • Active state of Capture Trigger(捕获触发器的活动状态):该选项可以设置捕获活动高信号还是活动低信号。
  • Active state of Generate Out signal(生成输出信号的活动状态):此选项可以设置生成的信号是高还是低。
  • Enable Timer2(使能定时器 2):该选项可以打开定时器 2,定时器 2 的捕获和生成两处的配置方法与定时器 1 相同,但可以独立配置。

在这里插入图片描述
3、BlockDesign搭建。
在这里插入图片描述
4、SDK代码。

#include <stdio.h>
#include "xparameters.h"
#include "xintc.h"
#include "xtmrctr.h"
#include "xil_exception.h"
#include "xgpio.h"
#include "xil_printf.h"

#define LED_DEV_ID          XPAR_GPIO_0_DEVICE_ID   //LED ID
#define INTC_ID             XPAR_INTC_0_DEVICE_ID   //中断控制器ID
#define TMRCTR_DEVICE_ID    XPAR_TMRCTR_0_DEVICE_ID //定时器中断ID

#define TMRCTR_INTR_ID  XPAR_INTC_0_TMRCTR_0_VEC_ID //定时中断ID

#define XIL_EXCEPTION_ID_INT    16U                 //中断异常ID

#define LED_Channel     1

XIntc    Intc;     //中断控制器实例
XGpio    led_gpio; //LED实例
XTmrCtr  Timer;    //定时器实例

void timer_intr_hander(void *InstancePtr);

int main(){
    print ("timer interrupt test\n");
    //初始化LED
    XGpio_Initialize(&led_gpio, LED_DEV_ID);
    //为指定的GPIO信道设置所有独立信号的输入/输出方向
    XGpio_SetDataDirection(&led_gpio, 1, 0);
    //设置LED初始值
    XGpio_DiscreteWrite(&led_gpio, 1, 0x0f);
    //定时器初始化
    XTmrCtr_Initialize(&Timer, TMRCTR_DEVICE_ID);
    //为指定的计时器启用指定的选项。
    XTmrCtr_SetOptions(&Timer, 0,XTC_INT_MODE_OPTION |    //中断操作
                                 XTC_AUTO_RELOAD_OPTION | //自动加载
                                 XTC_DOWN_COUNT_OPTION);  //递减计数

    //设置指定计时器的重置值
    XTmrCtr_SetResetValue(&Timer, 0, 50000000);
    //设置计时器回调函数,指定的计时器满一个周期时驱动程序将调用该回调函数
    XTmrCtr_SetHandler(&Timer, timer_intr_hander,&Timer);
    //开启定时器
    XTmrCtr_Start(&Timer, 0);
    //中断控制器初始化
    XIntc_Initialize(&Intc, INTC_ID);
    //关联中断源和中断处理函数
    XIntc_Connect(&Intc, TMRCTR_INTR_ID,
                 (XInterruptHandler)XTmrCtr_InterruptHandler,&Timer);
    //开启中断控制器
    XIntc_Start(&Intc, XIN_REAL_MODE);
    //使能中断控制器
    XIntc_Enable(&Intc, TMRCTR_INTR_ID);
        //设置并打开中断异常处理
    Xil_ExceptionInit();
        Xil_ExceptionRegisterHandler(XIL_EXCEPTION_ID_INT,
                (Xil_ExceptionHandler)XIntc_InterruptHandler,
                &Intc);
        Xil_ExceptionEnable();

    while(1);
}

void timer_intr_hander(void *InstancePtr)  //回调函数
{
    static int led_state = 0x00;
    //检测计数是否满一个周期
    if (XTmrCtr_IsExpired(&Timer, 0)){
        led_state = ~led_state;                       //LED状态翻转
        XGpio_DiscreteWrite(&led_gpio, 1, led_state); //输出LED值
    }
}

4、经测试,四个LED可正常闪烁,同时串口打印对应信息。


四、待补内容

串口实验:具体SDK设计功能函数解析。

定时器实验:具体SDK设计功能函数解析。使用vitis做一些调试性的工作。

  • 9
    点赞
  • 90
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

学不懂啊阿田

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值