Modelsim 仿真技巧&错误解决(1)

Modelsim 仿真技巧&错误解决

Modelsim仿真Altera ROM IP核无数据

使用Modelsim仿真Quartus工程的时候,定义的ROM IP核可能会没有数据输出
仔细查看Modelsim Transcrip信息可以发现:
Transcrip信息
Modelsim找不到ROM定义的初始化文件,例如.mif文件
ROM定义时初始化文件选择
打开IP核生成后的.v文件,可以看到
IP核.v文件
这边对应的.mif文件仅有文件名,Modelsim仿真时会去工程目录下找该.mif文件,找不到才会导致无数据输出,报Error

解决办法

1、更改IP核生成.v文件-使用绝对路径


可以更改初始化文件路径为绝对路径,即.mif文件在电脑上的完整路径

2、更改IP核生成.v文件-使用相对路径

在这里插入图片描述
使用相对路径,即.mif文件相对于modelsim工程目录的相对路径

3、将.mif文件复制至Modelsim工程目录所在路径

在这里插入图片描述
Modelsim仿真时会去工程目录下寻找.mif初始化文件,也可以将.mif文件复制到.mpf(Modelsim工程文件)同路径即可

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值