Modelsim中仿真IP核

第一步:编译仿真库

找到你的Xilinx的安装目录,在“安装目录/bin/nt”(我的是H:\ISE_14_7_zaixnag\14.7\ISE_DS\ISE\bin\nt)下面,找到compxlibgui.exe。

双击运行

上面是选择你安装的MODELSIM版本,modelsim-altera是不支持的。通常是安装questa simulater。

 

 选择是VHDL还是VERILOG,建议全选,NEXT

选择你的器件类型,建议全选,NEXT。

选择要编译的库,建议全选,NEXT

选择编译后存放的位置,建议在ModelSim安装目录下新建一个文件夹Xilinx(注意,目录不能有任何空格、中文和特殊字符)。本例是安装在H:\modelsin_zaxiang\win32。

点击Launch Compile Process,开始编译仿真库,时间大概有几十分钟.

第二步:修改MODELSIM配置文件

在MODELSIM安装目录下,找到modelsim.ini这个文件。

右键属性,把只读选项去掉。

然后用记事本或GVIM打开modelsim.ini

在“Library”下面输入(注意,下面的路径应该为你存放XILINX仿真库的路径)

secureip = H:\modelsin_zaxiang\win32 \secureip

unisim = H:\modelsin_zaxiang\win32\unisim

unimacro = H:\modelsin_zaxiang\win32\unimacro

unisims_ver = H:\modelsin_zaxiang\win32\unisims_ver

unimacro_ver = H:\modelsin_zaxiang\win32\unimacro_ver

simprim = H:\modelsin_zaxiang\win32\simprim

simprims_ver = H:\modelsin_zaxiang\win32\simprims_ver

xilinxcorelib = H:\modelsin_zaxiang\win32\xilinxcorelib

xilinxcorelib_ver = H:\modelsin_zaxiang\win32\xilinxcorelib_ver

uni9000_ver = H:\modelsin_zaxiang\win32\uni9000_ver

cpld = H:\modelsin_zaxiang\win32\cpld

cpld_ver = H:\modelsin_zaxiang\win32\cpld_ver

完成后,保存关闭,右键属性,将前面的只读选项重新勾选。到这里库就添加成功了。

 第三步:使用仿真库

按照常规做法,新建MODEL

第一步:编译仿真库

找到你的Xilinx的安装目录,在“安装目录/bin/nt”(我的是H:\ISE_14_7_zaixnag\14.7\ISE_DS\ISE\bin\nt)下面,找到compxlibgui.exe。

双击运行

上面是选择你安装的MODELSIM版本,modelsim-altera是不支持的。通常是安装questa simulater。

 

 选择是VHDL还是VERILOG,建议全选,NEXT

选择你的器件类型,建议全选,NEXT。

选择要编译的库,建议全选,NEXT

选择编译后存放的位置,建议在ModelSim安装目录下新建一个文件夹Xilinx(注意,目录不能有任何空格、中文和特殊字符)。本例是安装在H:\modelsin_zaxiang\win32。

点击Launch Compile Process,开始编译仿真库,时间大概有几十分钟.

第二步:修改MODELSIM配置文件

在MODELSIM安装目录下,找到modelsim.ini这个文件。

右键属性,把只读选项去掉。

然后用记事本或GVIM打开modelsim.ini

在“Library”下面输入(注意,下面的路径应该为你存放XILINX仿真库的路径)

secureip = H:\modelsin_zaxiang\win32 \secureip

unisim = H:\modelsin_zaxiang\win32\unisim

unimacro = H:\modelsin_zaxiang\win32\unimacro

unisims_ver = H:\modelsin_zaxiang\win32\unisims_ver

unimacro_ver = H:\modelsin_zaxiang\win32\unimacro_ver

simprim = H:\modelsin_zaxiang\win32\simprim

simprims_ver = H:\modelsin_zaxiang\win32\simprims_ver

xilinxcorelib = H:\modelsin_zaxiang\win32\xilinxcorelib

xilinxcorelib_ver = H:\modelsin_zaxiang\win32\xilinxcorelib_ver

uni9000_ver = H:\modelsin_zaxiang\win32\uni9000_ver

cpld = H:\modelsin_zaxiang\win32\cpld

cpld_ver = H:\modelsin_zaxiang\win32\cpld_ver

完成后,保存关闭,右键属性,将前面的只读选项重新勾选。到这里库就添加成功了。

 第三步:使用仿真库

按照常规做法,新建MODELSIM仿真工程、编译代码,此处要注意在ISE工程目录下找到被调用FIFO的  .v文件,然后整个拷贝到modelsin中的新建FIFO文件夹中,此时需要注意时钟IP是不能用modelsim仿真的

接下来开始仿真,我们要添加一下搜索库的路径。

然后点开work,选中你的tb文件之后点开Simulate选择Start Simulation

然后选中你的tb测试文件,再点到Libraries窗口,在Search Libraries(-L)中添加那个IP核所需要的库文件

 

点击ok。之后就是仿真流程了。

 

 

SIM仿真工程、编译代码,此处要注意在ISE工程目录下找到被调用FIFO的  .v文件,然后整个拷贝到modelsin中的新建FIFO文件夹中,此时需要注意时钟IP是不能用modelsim仿真的。

接下来开始仿真,我们要添加一下搜索库的路径。

然后点开work,选中你的tb文件之后点开Simulate选择Start Simulation

然后选中你的tb测试文件,再点到Libraries窗口,在Search Libraries(-L)中添加那个IP核所需要的库文件

 

点击ok。之后就是仿真流程了。

 

 

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值