第12周实验--VGA协议与图像输出Verilog编程

本文介绍了VGA协议的基础知识,包括它作为模拟视频传输标准的历史背景。随后,详细阐述了如何在DE2-115 FPGA开发板上使用Quartus II 13.0进行VGA显示的Verilog编程。通过创建名为VGA_test的Verilog文件,并提供了源码参考,读者可以了解到具体的管脚配置和烧录程序的步骤。
摘要由CSDN通过智能技术生成

第12周实验–VGA协议与图像输出Verilog编程

一、VGA简介

VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传
输的标准。早期的CRT显示器由于设计制造上的原因,只能接收模拟信号输入,因此计算机内
部的显卡负责进行数模转换,而VGA接口就是显卡上输出模拟信号的接口。如今液晶显示器虽
然可以直接接收数字信号,但是为了兼容显卡上的VGA接口,也大都支持VGA标准

二、基于DE2-115 FPGA开发板的VGA显示

软件基于quartusII 13.0版本,开发板基于Intel DE2-115

一些基本操作,请参考以前的博客:FPGA实现1位全加器设计

创建一个Verilog文件,命名为VGA_test,源码请参考:基于FPGA的VGA显示,简单的历程和注释(DE2-115)

管脚配置如下:在这里插入图片描述

如果在烧录程序时找不到烧录文件,操作如下

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值