基于DE2-115 FPGA开发板的VGA显示

一、VGA简介

VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传
输的标准。早期的CRT显示器由于设计制造上的原因,只能接收模拟信号输入,因此计算机内
部的显卡负责进行数模转换,而VGA接口就是显卡上输出模拟信号的接口。如今液晶显示器虽
然可以直接接收数字信号,但是为了兼容显卡上的VGA接口,也大都支持VGA标准

更多详细说明,请参考:基于DE2-115 FPGA开发板的VGA显示

二、基于DE2-115 FPGA开发板的VGA显示

软件基于quartusII 13.0版本,开发板基于Intel DE2-115

一些基本操作,请参考以前的博客:FPGA实现1位全加器设计

创建一个Verilog文件,命名为VGA_test,源码请参考:基于FPGA的VGA显示,简单的历程和注释(DE2-115)

管脚配置如下:

在这里插入图片描述

如果在烧录程序时找不到烧录文件,操作如下

在这里插入图片描述

最终显示结果如下:

在这里插入图片描述

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,以下是基于DE2-115平台VGA显示图片的详细步骤: 1. 打开Quartus II软件,创建一个新工程。 2. 在工程中添加一个VHDL文件,用于实现VGA控制器。下面是一个简单的VGA控制器代码示例: ``` library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity VGA_Controller is Port ( clk : in STD_LOGIC; h_sync : out STD_LOGIC; v_sync : out STD_LOGIC; red : out STD_LOGIC_VECTOR (3 downto 0); green : out STD_LOGIC_VECTOR (3 downto 0); blue : out STD_LOGIC_VECTOR (3 downto 0); x : out STD_LOGIC_VECTOR (9 downto 0); y : out STD_LOGIC_VECTOR (9 downto 0)); end VGA_Controller; architecture Behavioral of VGA_Controller is signal vga_clk : std_logic; signal h_count : integer range 0 to 799 := 0; signal v_count : integer range 0 to 524 := 0; begin vga_clk <= clk; process(vga_clk) begin if rising_edge(vga_clk) then if h_count = 799 then h_count <= 0; if v_count = 524 then v_count <= 0; else v_count <= v_count + 1; end if; else h_count <= h_count + 1; end if; end if; end process; h_sync <= '1' when (h_count >= 656 and h_count <= 752) else '0'; v_sync <= '1' when (v_count >= 490 and v_count <= 492) else '0'; red <= "1111"; green <= "0000"; blue <= "0000"; x <= std_logic_vector(to_unsigned(h_count, 10)); y <= std_logic_vector(to_unsigned(v_count, 10)); end Behavioral; ``` 这个VGA控制器代码示例中,使用了默认的640x480分辨率和60Hz刷新率。其中,h_sync和v_sync分别表示水平同步信号和垂直同步信号,red、green和blue分别表示红、绿、蓝三个颜色通道,x和y表示当前像素的坐标。 3. 在工程中添加一个图片文件,将其转换为灰度图像并调整分辨率为640x480。可以使用Matlab等工具进行图像处理和转换。 4. 在VGA控制器代码中添加一个ROM模块,用于存储转换后的图像数据。下面是一个简单的ROM模块代码示例: ``` library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ROM is Port ( clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR (17 downto 0); data : out STD_LOGIC_VECTOR (7 downto 0)); end ROM; architecture Behavioral of ROM is type ROM_array is array (0 to 307199) of std_logic_vector(7 downto 0); constant ROM_data : ROM_array := ( -- 图像数据 ); begin process(clk) begin if rising_edge(clk) then data <= ROM_data(to_integer(unsigned(address))); end if; end process; end Behavioral; ``` 在ROM模块中,使用一个ROM_array类型的常量存储转换后的图像数据,通过address输入读取对应的像素数据。 5. 在VGA控制器代码中,将ROM模块的输出与红、绿、蓝三个颜色通道连接起来,实现将像素数据输出到VGA显示器。 6. 在Quartus II软件中,进行引脚分配,将VGA控制器的输出信号与DE2-115板子上的VGA接口相连。 7. 编译工程生成.sof文件,并将其下载到DE2-115板子中。 完成以上步骤后,即可在DE2-115VGA显示器上看到输出的图像。需要注意的是,因为DE2-115FPGA资源有限,可能无法一次性显示完整的640x480分辨率的图像。可以将图像分成若干个区域分别输出,或者降低分辨率以适应FPGA资源。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值