Quartus-ll D触发器

本文详细介绍了D触发器的工作原理,并在Quartus-II中通过门电路设计、直接调用和Verilog语言编程展示了三种实现D触发器的方法。同时,文章还提供了时序仿真的步骤和解决仿真问题的建议。
摘要由CSDN通过智能技术生成

一、D触发器简介
D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个值与当前存储的值无关。在两个有效的脉冲边沿之间,D的跳转不会影响触发器存储的值,但是在脉冲边沿到来之前,输入端D必须有足够的建立时间,保证信号稳定。

D:输入信号
CLK:时钟信号
SET:置位信号,低电平有效
CLR:清除(重置)信号,低电平有效

当控制信号SET和CLR中存在低电平时,输出信号 Q n + 1 Q^{n+1}Q
n+1
跟随CLR(清除信号)
当控制信号SET和CLR都为高电平时,如果CLK为上升沿,输出信号 Q n + 1 Q^{n+1}Q
n+1
跟随D;如果CLK为除上升沿的其他状态,输出信号 Q n + 1 Q^{n+1}Q
n+1
状态保持不变,依旧为 Q n Q^nQ
n
时的状态
D触发器是上升沿触发

二、在 Quartus-II 中自己用门电路设计一个D触发器

  1. 创建一个工程文件

如何新建一个工程文件,请参考:quartus II输入原理图及仿真步骤

  1. 新建一个波形文件

① 选择nand2,二个输入的与非门,依次添加四个nand2和一个非门not

② 通过工具栏上面输入输出工具,以及连线工具,设计出以下的电路图

③ 保存电路图

④ 编译原理图文件

启动分析与综合,编译原理图文件<

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值