Matlab simulink建模与仿真 第十三章(信号通路库)

参考视频:simulink1.1simulink简介_哔哩哔哩_bilibili

一、信号通路库中的模块概览

1、信号通路组

        注:部分模块在第二章中有介绍,本章不再赘述。

2、信号存储和访问组

二、总线分配模块

        Bus Assignment模块接受总线作为输入,并允许为总线中的某些信号分配新的信号值,其中该模块的Bus端口接收总线信号,而其它端口则是输入替换Bus端口总线信号的信号。

        双击Bus Assignment模块,左侧列表框显示输入总线中的信号(Bus端口的所有输入信号),可使用“Select>>”按钮选择要被替换的信号,右侧列表框显示所选信号。

        可使用“Up”、“Down”或“Remove”按钮重新排列选择的信号。当模块的配置或者输入输出等有修改时,建议都点击“Refresh”按钮刷新一下。

        如果右侧列表的某个信号前面显示三个“?”,说明该信号没有与左侧列表框中的任一信号对应,这样是不对的。

        选好需要替换的信号后,该模块会相应地为每个被替换信号提供替换信号输入端口(比如原本总线中有两个信号需要被替换,那么该模块将相应地为这两个信号提供两个输入端口,这两个端口输入的信号分别替换原本总线中的两个信号)。

三、选择器模块、下标向量模块

1、Selector选择器模块

(1)该模块可以选择或重新排列多维输入信号的指定元素,首先需要配置该模块输入信号的维度。

(2)每个元素的索引可由输入端口或模块配置对话框确定,程序员可以使用“Index mode”参数为每个维度选择索引方法(基于0和基于1的区别在第八章中有介绍,这里不再赘述)。

(3)下标的选择方式也不止一种,可以选择在对话框中配置或者从外部输入,可以对某一维度直接全选,也可以用向量的形式选择某维度中的某几个下标(如下图所示),亦或者可以只配置起始下标,那么某维度中该下标之后的所有元素也会被选中。

2、Index Vector下标向量模块

(1)该模块的作用和Switch模块类似,不过不同的是,该模块有多个输入分支(以下称为“数据端口”),模块连通哪个数据端口至输出,取决于第一个端口(以下称为“编号输入端口”)的输入值,模块根据该值选择具有相应编号的数据端口连通至输出端口。数据端口的排序有三种方式,第一种是基于0连续(Zero-based contiguous)——数据端口从上往下的编号从0开始递增,第二种是基于1连续(One-based contiguous)——数据端口从上往下的编号从1开始递增,第三种是指定编号(Specify indices)——数据端口从上往下的编号取决于Data port indices配置的编号序列(此种编号方法中一个数据端口可以有多个编号,可用向量表示一个数据端口所拥有的编号)。

(2)当编号输入端口输入的编号无法选择任一数据端口时(即编号输入端口输入的编号不在数据端口的编号集中),该模块需要选择一个默认数据端口连通至输出端口,具体有两种选择方式,第一种是默认选择最下面的一个数据端口(Last data port),第二种是另开一个默认数据端口(Additional data port),如果发生数据端口编号集中没有输入端口输入编号的情况,那么就将该端口连通至输出。

(3)使用默认端口的前提是将对默认情况的诊断(Diagnostic for default case)配置为None或者Warning,否则如果配置为Error,如果发生数据端口编号集中没有输入端口输入编号的情况将会报错。

(4)编号输入端口可以输入多个编号,相应地,也会有同等数量的输出,与输入的编号一一对应。

四、合并模块

        Merge模块的作用是将多个输入信号合并为单一输出信号,输入信号一般都来自其它子系统的输出(比如If Action子系统),该模块的初始输出值由“Initial output”参数指定,如果“Initial output”为空,则Merge模块将输出其中一个子系统的初始输出。

        该模块与Bus Creator总线模块有很大区别,它并不是将输入信号全部揉在一根信号线上进行输出,对于没有使能的子系统,它们自然不会有输出,也就不会有任何信号,而Merge模块的作用则是在众多输入端口中选择信号将其输出,这样,多个子系统的输出就可以通过Merge模块直接合并,而不用做非常复杂的逻辑仲裁(不借助Merge模块,多个子系统的输出是不能直接连在一起的)。

        下例中的使能子系统,输入与输出之间直接用一根普通信号线相连,没有其它处理,整个模型实现的是一个半波整流的功能。

五、环境控制、手动开关模块

1、Environment Controller环境控制模块

(1)环境控制模块有两个输入端口,在仿真环境下该模块的输入连通Sim端口,在编译环境下该模块连通Coder端口。

(2)环境控制模块两个端口的输入信号的宽度必须相同。

2、Manual Switch手动开关模块

(1)该模块实现的是一个单刀双掷开关,将该模块添加到模型文件中后,双击该模块即可切换单刀开关的通路。

(2)手动开关制模块两个端口的输入信号的宽度必须相同。

六、多端口开关模块

        Multiport Switch模块的作用以及使用方法和Index Vector模块基本完全一样,这里不再赘述。

七、goto from及goto tag模块

1、goto模块和from模块

(1)goto模块和from模块需要成对使用,具有相同标签goto模块和from模块构成一对(具有相同标签的goto模块在其可见范围内只能有一个,而from模块可以有很多个,为方便起见,这里仅以一个from模块为例),goto模块接收一条信号线上传输的信号,并将该信号传递至与其同标签的from模块。

(2)上面提到的“可见范围”其实就是goto模块能将信号传递出去的范围,比如只能在当前非虚拟子系统中传递(local)(除非goto模块连接条件执行子系统的状态端口,而from模块在其它条件执行子系统中,这样二者也能互相可见),或者是在整个模型文件中传递(global),或者把可见范围限定在一定区域内(scoped)。

2、Goto Tag Visibility模块

        如果goto模块的可见范围为scoped,那么需要在当前子系统中添加具有同名标签的Goto Tag Visibility模块,这样goto模块的输入才能传递到from模块,这个from模块可以在当前子系统中,也可以在当前子系统的子系统中。

八、信号存储和访问组

1、Data Store Memory数据存储记忆模块

(1)该模块用于定义(或者说划分)供数据存储读取和数据存储写入模块使用的内存区域,这个区域有一个名字Data store name,该内存区域中可以有若干个元素。

(2)当前(子)系统级或更低级别的所有Data store name与某数据存储区名称相同的读取和写入模块都可以从该数据存储区读取或写入数据。

(3)如果出现不同级别子系统中定义了相同名称数据存储区域的情况,则按照向上就近原则,低级别的数据存储读取和数据存储写入模块只能访问到在系统层级上离它们最近的数据存储区域。

(4)可为数据存储区域设定初始值,这个值可以是一个标量,也可以是一个向量甚至是矩阵,当初始值是向量或者矩阵时,意味着该存储区域内有大于1个的元素存储。

2、Data Store Read数据存储读取模块

(1)该模块可以从指定的数据存储区域中读取数值并输出之,这个数据存储区域由数据存储记忆模块划分。

(2)使用“元素选择”选项卡可以选择要读取的特定元素,如果不选择任何元素,则会直接读取整个内存区域(选择了多少个元素,或者说选择了多少个子矩阵,就有多少个输出端口,它们是一一对应的;无论如何至少有一个输出端口)。

3、Data Store Write数据存储写入模块

(1)该模块可将输入信号的值写入指定的数据存储区域,这个数据存储区域由数据存储记忆模块划分(写入操作可重复执行,每写入一次就会覆盖上一次写入的数据)。

(2)使用“元素赋值”选项卡可为特定元素赋值,如果不选择任何元素,则直接对整个内存区域执行写入操作(选择了多少个元素,或者说选择了多少个子矩阵,就有多少个输入端口,它们是一一对应的;无论如何至少有一个输入端口)。

4、使用示例

(1)该例中有两个数据存储区,分别为lpfilter和hpfilter,它们均处于最高级别的系统中,它们的存储结构均为一个2×2矩阵,也就是含4个元素。

(2)Tapped Delay模块仅用于将1个信号变成4个信号,然后拼成一维向量输出,在本例中其主要作用并不是延迟;Reshape模块用于将含4个元素的一维向量转化为2×2矩阵,这样才对得上数据存储写入模块中的元素列表(Subsystem2中数据存储写入模块的指定元素选择整个矩阵,如上图所示,而Subsystem1中数据存储写入模块没有选择指定元素,则默认选择整个存储区,所以也需要转成2×2矩阵)。

(3)最高级别系统中数据存储读取模块的指定元素列表选择了存储矩阵中的其中两个元素进行输出。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Zevalin爱灰灰

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值