自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(19)
  • 收藏
  • 关注

原创 AXI SPEC学习笔记(4)

本系列内容来自ARM官方spec1、事务类型和特性Sub被分成两类:Memory Sub:该类型的Sub要求能够正确处理所有事务类型。外设Sub:该类型的Sub有一个定义的存取方法,一般该方法在组件data sheet内定义,描述了Sub能正确处理的事务类型。定义的方法以外的任何存取必须按照协议完成,但是当这种存取建立后,不要求外设Sub能够继续正确的操作,只是要求继续按照协议下的行为完成剩下的事务,这种服从协议的行为一般是为了防止死锁。由于外设Sub仅需要在定义的存取方法下正确工作,因此它可以只

2021-07-25 22:36:47 636

原创 AXI SPEC学习笔记(3)

本系列内容来自ARM官方spec1、基本读写事务握手处理:五个事务通道使用相同的VALID/READY握手处理。两路流控机制意味着Manager和Sub都可以控制它们之间的信息流速。数据源产生VALID信号表明地址、数据、控制信息是可用的。终点产生READY信号来表明它可用接收信息。传输仅在VALID和READY信号均为高电平时出现。在Manager和Subordinate接口中,在输入和输出信号之间不能存在其他组合逻辑。如上图,数据源在T1后提供信息同时拉高VALID信号,终点在T2后拉高RE

2021-07-21 22:58:50 369

原创 AXI SPEC学习笔记(2)

本章介绍AXI信号,内容来自ARM官方SPEC1、全局信号2、写地址通道信号信号源描述AWIDManager写事务的标签AWADDRManager写事务第一次传输的地址AWLENManager长度,写事务中数据传输的确切数量。该信息决定了与该地址相关联的数据传输的数量。AWSIZEManager大小,写事务中每次数据传输字节数AWBURSTManagerBurst类型,表明每次写事务中地址如何变化AWLOCKManager提

2021-07-20 23:54:48 223

原创 AXI SPEC学习笔记(1)

本系列内容来自ARM官方SPECAMBA AXI协议支持在Manager和Subordinate组件之间的高性能,高频率通信系统设计。1、AXI关键特性有:独立的地址控制和数据阶段支持通过字节选通实现非对齐数据传输仅使用发布的起始地址即可使用burst传输事务独立的读写通道,提供低成本的DMA支持outstanding传输,即主机可以连续发送多个burst传输首地址而无需等待前次burst传输完成。支持乱序传输,用ID来标识各个访问的归属。 一次burst传输内的数据是按序传输的。允许增加

2021-07-18 21:38:38 432

原创 静态时序分析圣经学习(8) 时序验证

1、建立时间检查2、保持时间检查3、多周期路径某些情况下,两个寄存器之间的组合逻辑数据路径可以超过一个周期。这种情况下,可以声明一个组合逻辑路径是一个多周期路径。尽管数据采样寄存器每个周期都对数据进行采样,但可以让STA发生在几个指定的周期之后。可以用以下命令对花费3个时钟周期的数据路径进行约束。默认的保持时间检查发生在建立时间检查的前一个周期,这不是我们希望的。我们需要把默认的保持时间检查向前移动2个周期。用以下指令后,数据路径最短延迟将被约束在一个时钟周期内。多周期的hol

2021-07-18 00:50:41 1261

原创 小窥APB协议SPEC (2)

1、写传输无等待状态的写传输Setup阶段出现在图中的T1,PSEL拉高时意味着PADDR,PWRITE和PWDATA必须有效。Access阶段出现在图中的T2,PENABLE拉高。PREADY若拉高表示写数据将在T3被接收。PADDR,PWDATA和任何其他控制信号,必须在传输完成前保持稳定。传输结束后,PENABLE拉低。PSEL也拉低,除非相同的外设还有下一次传输。有等待状态的写传输:在Access阶段,当PENABLE为高电平,Completer通过拉低PREADY来扩展传输。在PR

2021-07-13 23:49:00 614

原创 小窥APB协议SPEC (1)

本系列内容来自ARM官方SpecAPB协议是一个低成本的接口,为了优化功耗并降低接口复杂性。APB接口不是流水线的,是一个简单的同步协议。每次传输至少需要两个周期才能完成。APB接口用于访问外围设备的可编程控制寄存器。APB外设通常使用APB桥接器连接到主存系统。例如,从AXI到APB的桥接器可用于将多个APB外设连接到AXI存储系统。APB传输由APB桥接器发起。APB桥接器也可以称为请求者。外设接口响应请求。APB外设也可以称为Completer。本规范将使用Requester和Completer。

2021-07-12 22:09:41 310

原创 小窥AMBA5 AHB协议SPEC (9)

原子性原子性是访存行为的一个特征,我们一般称具有原子性的访存操作为原子性操作。ARMv8-A架构有两种原子性,一种是单拷贝原子性(single-copy atomicity),另一种是多拷贝原子性(multi-copy atomicity)。观察者是指系统能观察到访存行为的主体,可以是进程或线程等。但更具体地说,在ARMv8-A架构中,观察者的具体含义为:可以读写存储系统的机制;可以取指令或填充指令缓存的机制,这些操作被视为读操作;可以遍历转换表的机制,这些操作也被视为读操作。当满足如下条件时,

2021-07-11 23:53:40 299

原创 小窥AMBA5 AHB协议SPEC (8)

1、专用传输:AHB5定义了专用传输特性。该特性定义了一个接口是否支持专用传输的理念。如果这个特性未在接口中定义则不支持专用传输。专用传输提供了信号量类型操作的机制。专用传输流程是一个主机通过以下步骤来操作实现的:(1)从一个地址发起一次专用读传输(2)基于专用读传输获得的数据计算一个写入该地址的新值(3)在专用读传输和专用写传输之间可以有其他非专用传输(4)同这个新值对相同的地址发起专用写传输,如果没有其他主机写入该位置,则专用写传输成功并更新该memory。如果其他主机在专用读传输后写入该

2021-07-11 23:34:32 226

原创 小窥AMBA5 AHB协议SPEC (7)

1、时钟每个组件使用同一个时钟信号,HCLK。所有输入信号在HCLK上升沿被采样。所有输出信号必须在HCLK上升沿后变化。2、复位复位信号,HRESETn,是协议内唯一的低电平有效的信号。该复位是异步的,但要在HCLK上升沿同步释放。组件要定义一次复位最少周期确保所有组件被完全复位,输出也被复位成初始值。主机复位要确保地址和控制信号被复位至有效电平。HTRANS为IDLE。从机复位要确保HREADYOUT为HIGH。...

2021-07-11 22:38:40 104

原创 小窥AMBA5 AHB协议SPEC (6)

1、数据总线最小数据总线宽度建议为32bit。写数据总线:在写操作时,主机驱动写数据总线。如果传输被从机扩展,主机必须保持写数据有效直到传输完成,也就是HREADY拉高。读数据总线:被选中的从机在读操作期间驱动读数据总线。如果从机通过拉低HREADY扩展读传输,从机只需要在传输的最后一个周期提供有效数据,即HREADY拉高的那个周期。2、字节序AHB即支持大端字节序也支持小端字节序。举例来说,数值0x2211使用两个字节储存:高位字节是0x22,低位字节是0x11。大端字节序:高位字节在前,低

2021-07-11 17:02:16 200

原创 小窥AMBA5 AHB协议SPEC (5)

1、从机传输响应从机必须提供一个响应信号表明传输状态。该信号通过HRESP提供。OKAY:要么表示成功传输,要么表示从机需要额外时间来完成请求。HREADY信号可表示传输完成还是挂起。ERROR:传输错误出现。错误必须让主机知道传输没有成功。错误响应是一个2周期响应,第二个周期HREADY拉高。传输成功:HREADY为高,HRESP为OKAY传输挂起:HREADY为低,HRESP为OKAY。一般从机要有个预先设定的最长等待周期,建议不超过16个CLK错误响应:需要两个周期,第一个周期HRESP

2021-07-11 16:31:25 267

原创 小窥AMBA5 AHB协议SPEC (4)

1、互连互连组件提供了系统中主机和从机之间的连接。单个主系统只需要使用地址译码器和多路复用器。多主机系统需要使用一个互连组件,该互连组件提供仲裁和从不同主机到适当的从机的信号路由。这种路由是地址、控制和写数据信号所必需的。2、地址译码器地址译码器为总线上每个从机输出一个选中信号,HSELx。该信号是地址信号的组合逻辑译码。建议使用简单的译码方案来保证高速操作。从机只能在HREADY为高电平期间采样HSELx,地址和控制信号,表明本次传输完成。在某种情况下可能在HREADY为低电平时HSELx被拉

2021-07-11 16:12:52 127

原创 小窥AMBA5 AHB协议SPEC (3)

1、基本传输一次传输包括2个阶段地址阶段:持续一个HCLK周期,除非被上次传输扩展。数据阶段:可能需要多个HCLK周期,用HREADY信号来控制完成传输所需的周期数。HWIRTE控制数据传输方向:高电平时表示写传输,主机发布数据在写数据总线HWDATA[31:0]低电平时表示读传输,从机产生数据在读数据总线HRDATA[31:0]最简单传输是没有等待状态的,因此传输只包含一个地址周期和一个数据周期。在一次没有等待状态的传输中,1、主机驱动地址和控制信号到总线上在HCL

2021-07-11 00:18:10 729

原创 小窥AMBA5 AHB协议SPEC (2)

在所有的AHB5和AHB-Lite的信号均以大写字母“H”开头,以区分系统中其他相似的信号名。1、全局信号![在这里插入图片描述](https://img-blog.csdnimg.cn/20210708223119259.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L2EzNDcyNDY2Nw==,size_16,color_FFFFFF,t_

2021-07-08 23:21:28 241

原创 小窥AMBA5 AHB协议SPEC (1)

本系列内容来自ARM官方SPEC1、AMBA AHB是一种适用于高性能综合设计的总线接口。它定义了组件之间的接口,包括Masters、Interconnects和Slaves.AMBA AHB实现了高性能,高时钟频率系统所需的功能,包括:(1)突发传输(2)单时钟沿操作(3)无需三态门(4)宽数据总线配置,64、128、256、512和1024位。该图展示了一个单Master系统,该系统有1个AHB主设备和3个AHB从设备,总线互连逻辑包括1个地址译码器和一个从机到主机的多路复用器。译码器

2021-07-08 22:24:59 981

原创 静态时序分析圣经学习(1)简介

1、啥是静态时序分析?静态地执行对DUT的时序分析,不依赖于输入端口的激励信号,解决设计中的时序问题。另一种时序分析方法叫做时序仿真,是动态的施加激励,观察电路行为是否符合要求。给定一个设计,一个时钟周期和外部环境,STA就可以验证这个设计能否在预期的速度下安全地运行,且没有时序违例。时序检查包括建立时间和保持时间检查。STA是一种完整且详尽的办法。相比于时序仿真来说,时序仿真只能验证给定激励执行的那部分时序路径。另外,基于逻辑仿真的验证无法分析噪声及串扰、OCV所带来的影响。2、流程将RTL综合成

2021-06-11 21:21:29 526

原创 静态时序分析圣经学习(3) 标准单元库

1、管脚电容一个cell的输入输出管脚都指定了电容,大部分cell只指定了输入管脚电容,因为大部分cell的输出管脚电容为0.2、时序模型一个反相器cell的时序弧延迟与以下两点相关:1 输出负载,即输出管脚上的电容负载2 输入转换时间(input transition time)负载越大,delay越大大部分情况下,输入转换时间增加,delay增加(某些具有非单调行为的cell可能相反)输出转换时间主要跟输出负载相关,负载越大,输出转换时间越大。线性模型:delay和输出转换时间与输

2021-05-28 23:33:19 1579

原创 静态时序分析圣经学习(2)STA理念

1、NMOS PMOS结构NMOS:衬底为p型,沟道为n型MOS管的长度指源极和漏极之间的距离。2、skewskew是多个时钟信号到达时钟终点的时间差。latency是从时钟源到时钟终点的总时差,包括source latency和network latency两部分。一个理想的时钟树具有无限驱动能力,可以驱动任何源且无时延,且时钟树出现的任何cell无时延。在设计早期,STA主要分析data path而设置理想的时钟树。set_clock_latency 2.2 [get_clocks BZ

2021-05-28 21:47:12 330

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除