静态时序分析圣经学习(3) 标准单元库

1、管脚电容
一个cell的输入输出管脚都指定了电容,大部分cell只指定了输入管脚电容,因为大部分cell的输出管脚电容为0.
在这里插入图片描述
2、时序模型
一个反相器cell的时序弧延迟与以下两点相关:
1 输出负载,即输出管脚上的电容负载
2 输入转换时间(input transition time)
负载越大,delay越大
大部分情况下,输入转换时间增加,delay增加(某些具有非单调行为的cell可能相反)
输出转换时间主要跟输出负载相关,负载越大,输出转换时间越大。
在这里插入图片描述
线性模型:delay和输出转换时间与输入转换时间和输出负载满足一个线性方程
非线性延迟模型(NLDM):根据输入转换时间和输出负载查找delay的二维表,对于rise行为和fall行为都有各自的表。
在这里插入图片描述
NLDM不仅用于查delay,还用于查output transition time
在这里插入图片描述
区别是delay表的label是cell_rise和cell_fall
transition表的label是rise_transition和fall_transition
在这里插入图片描述
4、时序cell模型
在这里插入图片描述
setup time和hold time
这两个时间与CLK端口和D端口有关,因此二维查找表参数为data transition和clk transition
在这里插入图片描述
表内的hold time有时为负数。这是可以接受的,一般出现在数据可以在时钟有效边沿到来前变化,仍能满足hold time check。
setup time也可以是负数,这表示数据可以在时钟有效边沿到来后变化,仍能满足setup time。
但是,setup time和hold time不能同时为负值,setup time + hold time即窗口宽度,这个值必须为正。
在这里插入图片描述
异步检查(asynchronuous check)
移除时间和恢复时间,都表示最小值。
在这里插入图片描述
这两个值在二位查找表内的参数也是data transition和clk transition
在这里插入图片描述
最小脉宽检查
在这里插入图片描述
如FF的clr端口,其最小低脉宽与input transition相关。

传播延迟(propagation delay)
即Tcq,这两个值与clk transition和output capacitance相关

状态依赖模型(State-Dependent Models)
当时序行为依赖其他输入端口而发生不同时,需要定义其他引脚的状态,这种模型就叫状态依赖模型。
如xor门

在这里插入图片描述
这种模型可以用于指定时序库内的任意特性,如power,transtion time,rise/fall delay等
在这里插入图片描述
5、黑盒时序模型接口
在这里插入图片描述
在这里插入图片描述
6、高级时序模型
在NLDM模型中,delay由基于输出负载和输入转换时间的时序弧计算得到。实际上,cell的output load由电容和互连电阻相关。因此只考虑纯容性负载是不准确的,可以使用等效电容来代替互连电阻的作用,通过工具得到RC互连的等效电容。一些模型提供cell输出驱动来获得精确度,通过一个等效电流源来对cell输出驱动建模。这种模型有CCS(Composite Current Source)复合电流源,ECSM(Effective Current Source Model)有效电流源模型。

receiver pin capacitance
接收引脚电容对应于NLDM模型中指定的输入引脚电容(input pin capacitance)。与NLDM模型的输入引脚电容不同,CCS模型允许在过渡波形的不同部分分别指定接收引脚电容。由于互连RC和由单元内部输入设备的米勒效应(Miller effect)所引起的等效输入非线性电容,接收引脚电容值会在过渡波形的不同点处发生变化。因此,该电容值在波形的初始部分(leading portion)与在波形的后续部分(trailing portion)的建模是不同的。
接收电容可以在引脚级别(pin level)上指定(如NLDM模型),通过该引脚的所有时序弧都使用该电容值;或者,接收电容可以在时序弧(timing arc level)级别上指定,在这种情况下可以为不同的时序弧指定不同的电容模型。接下来将介绍这两种指定接收引脚电容的方法。
在这里插入图片描述
输出电流
参数为input transition和output load
输出为与时间相关的电流值(波形)
在这里插入图片描述

  • 2
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值