verilog与VHDL相比

verilog与VHDL相比 

verilogVHDL相比的优点
二者的关系仿佛CFORTRAN,具体而言:
1 verilog
的代码效率更高:
 
比较明显的对比:
       VHDL
在描述一个实体时采用entity/architecture模式,
       verilog
在描述一个实体时只需用一个"module/edumodule"语句块.
 此外verilog的高效性还在很多地方体现出来;
2 verilog
支持二进制的加减运算:
     VHDL
在进行二进制的加减运算时使用conv_***函数或者进行其他的定义,总之必须通知编译器;verilog直接用形如"c=a+b"的表示二进制的加减运算;
3
综合时可控制性好:
VHDL
对信号不加区分地定义为"signal",
verilog区分为register类型的和wire类型的;
但是也有人支持VHDL,认为verilogVHDL的关系仿佛CC++.

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值