UVM仿真的启动(三)—— execute_phase()

目录

0. 引言

1. phase state

​编辑

2. uvm_phase::execute_phase()

2.1. line 1301~1350

2.2. line 1353~1366

2.3. line 1369~1419

2.4. line 1537~1579

2.5. line 1580~1591

2.6. line 1594~1609

2.7. line 1611~1633

2.8. line 1635~1662

3. Singleton phase的父类

3.1. uvm_topdown_phase

3.1.1. traverse

3.1.2. execute

3.1.3 uvm_build_phase::exec_func

...

3.2 uvm_bottomup_phase

3.2.1 uvm_bottomup_phase::traverse

3.2.2 uvm_connect_phase::execute

3.2.3 uvm_connect_phase::exec_func

        ...

3.3 uvm_task_phase

3.3.1 uvm_task_phase::traverse

3.3.2 uvm_task_phase::execute

3.3.3 uvm_run_phase::exec_task

4. 小结


0. 引言

初始调用run_test后,调用了uvm_phase::m_run_phase(),进而开始在后台进程中执行phase,而phase实例是从m_phase_hopper这个mailbox中拿到的。在上一章,主要讲述了第一个要执行execute_phase的phase实例——get_common_domain返回的domain,它的后继节点为build_phase,那么是如何再将build phase node添加到m_phase_hopper中?后续又怎么执行呢?核心即是本文所介绍的execute_phase。主要是过程行为,没有深入细枝末节。

1. phase state

先看一下phase node都有什么状态,如下枚举

   typedef enum { UVM_PHASE_UNINITIALIZED = 0,
                  UVM_PHASE_DORMANT      = 1,
                  UVM_PHASE_SCHEDULED    = 2,
                  UVM_PHASE_SYNCING      = 4,
                  UVM_PHASE_STARTED 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值