UVM——sequence机制(六)


sequence机制(五)已经了解到sequencer与driver之间的传递sequence item的握手过程,同时也掌握了sequence与item之间的关系。接下来,我们需要就sequence挂载到sequencer上的常用方法做出总结,我们可以通过对这些常用方法和宏的介绍,了解到它们的不同的使用场景。另外,面对多个sequence如果需要同时挂载到sequencer时,那么就面临着仲裁的需要,uvm_sequencer自带有仲裁特性,结合着sequence的优先级设定,最终可以实现想要的效果。

一、sequence的启动方式细节

UVM中sequence的启动分为显示启动隐式启动两种方式。

  • 显式启动(直接启动)——调用start()方法启动。
  • 隐式启动 ——使用uvm_config_db机制配置default_sequence启动。
//sequence的显式启动
//该方法提起和落下objection,通过phase.raise_objection(this)/phase.drop_objection(this)
my_sequence   seq = my_sequence::type_id::create("seq");
phase.raise_objection(this);
seq.start(sequencer);
phase.drop_objection(this)

//sequence的隐式启动
//使用uvm_config_db#(uvm_object_wrapper)配置default_sequence,事实上default_sequence会调用start任务
uvm_config_db#(uvm_object_wrapper)::set(this,"env.i_agt.sqr.main_phase",
                                        "default_sequence",
                                         case0_sequence::get_type());

//sequence的隐式启动二种先实例化要启动的sequence,之后再通过default_sequence启动:该示例在uvm1.1可以正常运行,uvm1.2中有问题。
function void my_case0::build_phase(uvm_phase phase);
	case0_sequence cseq; 
	super.build_phase(phase); 

	cseq = new("cseq");
	uvm_config_db#(uvm_sequence_base)::set(this,  "env.i_agt.sqr.main_phase","default_sequence",cseq);  endfunction

sequence启动后,会根据参数设置情况,自动执行*pre_start(), pre_body(), parent_seq.pre_do(),parent_seq.mid_do(), body(), parent_seq.post_do(), post_body, post_start()*等函数/任务。

seq.start (m_sequencer, null, , 1);
 
// The following methods will be called in start()
seq.pre_start();            (task)       
seq.pre_body();             (task)  if call_pre_post == 1
   parent_seq.pre_do()      (task)   if parent_seq != null
   parent_seq.mid_do(this)  (func)   if parent_seq != null
seq.body()                  (task)   your code
   parent_seq.post_do(this) (func)   if parent_seq != null
seq
  • 8
    点赞
  • 71
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值