【AMD Xilinx】ZUBoard(4):PS端的IO读写

本文介绍了在AMDXilinxZUBoard4中,如何处理PS端的IO,包括4位拨动开关、按键和LED的控制,提供了跑马灯和拨动开关、按键联动的示例代码,使用了XGpioPs库进行GPIO操作。
摘要由CSDN通过智能技术生成

一、本项目实现的功能

前面已经实现了网络通讯、PL端的按键读取和点亮LED。这篇我们研究如何处理PS端的IO

二、原理图和对应的IO

1. SW4

4位拨动开关,拨到ON的时候电阻分压为高电平,拨到OFF的时候下拉到地为低电平
MIO44 40 39 31

在这里插入图片描述

2. 按键SW1

MIO32
没按下的时候是1,按下后导通到地是0。因此是反相逻辑
在这里插入图片描述

3. 红色LED4个

PCB上的排列顺序从左到右依次为D9 D8 D7 D6
对应MIO为07 24 25 33
高电平MOS管导通,LED点亮。低电平不导通,LED不亮。

N沟道mos和P沟道mos参考下面链接,可以简单理解为电子开关
https://zhuanlan.zhihu.com/p/654353204

在这里插入图片描述

三、例程:跑马灯

ps端的gpio控制与pl类似,只是函数和变量都多了Ps前缀

比如初始化函数:
XGpioPs_CfgInitialize

下面是实现4个LED跑马灯效果

#define LED_MAX_BLINK		10000
static u32 mio_in_sw1 = 32; /* Switch button */
static u32 mio_in_sw4[4] = {44, 40, 39, 31};
static u32 mio_out_led[4] = {7, 24, 25, 33};


static int ps_gpio_out_led(void)
{
	u32 Data;
	u32 LedLoop;

	XGpioPs Ps_gpio_output;
	int i;



	int Status;
	XGpioPs_Config *ConfigPtr;

	ConfigPtr = XGpioPs_LookupConfig(XPAR_XGPIOPS_0_DEVICE_ID);

	Status = XGpioPs_CfgInitialize(&Ps_gpio_output, ConfigPtr,
					ConfigPtr->BaseAddr);
	if (Status != XST_SUCCESS) {
		xil_printf("PS Gpio Output Initialization Failed\r\n");
		return XST_FAILURE;
	}


	/*
	 * Set the direction for the pin to be output and
	 * Enable the Output enable for the LED Pin.
	 */
	for(i = 0; i < 4; i++){
		XGpioPs_SetDirectionPin(&Ps_gpio_output, mio_out_led[i], 1);
		XGpioPs_SetOutputEnablePin(&Ps_gpio_output, mio_out_led[i], 1);
		/* Set the GPIO output to be low. */
		XGpioPs_WritePin(&Ps_gpio_output, mio_out_led[i], 0x0);
	}



	for (LedLoop = 0; LedLoop < LED_MAX_BLINK; LedLoop ++) {
		for(i = 0; i < 4; i++){
			XGpioPs_WritePin(&Ps_gpio_output, mio_out_led[i], 0x1);

			Data = XGpioPs_ReadPin(&Ps_gpio_output, mio_out_led[i]);
			if (Data != 1 ) {
				return XST_FAILURE;
			}
			delay_ms(100);


			XGpioPs_WritePin(&Ps_gpio_output, mio_out_led[i], 0x0);
			Data = XGpioPs_ReadPin(&Ps_gpio_output, mio_out_led[i]);
			if (Data != 0) {
				return XST_FAILURE;
			}
			delay_ms(20);
		}

	}
	return XST_SUCCESS;
}

四、例程:拨动开关、按键、LED三者联动

程序逻辑:

  1. SW1没按下时,拨动开关的4位与4个LED联动:拨到ON,对应的LED亮。拨到OFF,对应的LED灭
  2. SW1按下时,4个LED全亮
static u32 mio_in_sw1 = 32;
static u32 mio_in_sw4[4] = {44, 40, 39, 31};
static u32 mio_out_led[4] = {7, 24, 25, 33};

int test_ps_io_in_out(void)
{
	u32 data;
	u32 data1;
	u32 sw1;

	XGpioPs Ps_gpio_output;
	XGpioPs Ps_gpio_input;
	int i;

	int Status;
	XGpioPs_Config *ConfigPtr;

	ConfigPtr = XGpioPs_LookupConfig(XPAR_XGPIOPS_0_DEVICE_ID);

	Status = XGpioPs_CfgInitialize(&Ps_gpio_output, ConfigPtr,
					ConfigPtr->BaseAddr);
	if (Status != XST_SUCCESS) {
		xil_printf("PS Gpio output Initialization Failed\r\n");
		return XST_FAILURE;
	}

	for(i = 0; i < 4; i++){
		XGpioPs_SetDirectionPin(&Ps_gpio_output, mio_out_led[i], 1);
		XGpioPs_SetOutputEnablePin(&Ps_gpio_output, mio_out_led[i], 1);
		/* Set the GPIO output to be low. */
		XGpioPs_WritePin(&Ps_gpio_output, mio_out_led[i], 0x0);
	}


	Status = XGpioPs_CfgInitialize(&Ps_gpio_input, ConfigPtr,
					ConfigPtr->BaseAddr);
	if (Status != XST_SUCCESS) {
		xil_printf("PS Gpio input Initialization Failed\r\n");
		return XST_FAILURE;
	}

	for(i = 0; i < 4; i++){
		XGpioPs_SetDirectionPin(&Ps_gpio_input, mio_in_sw4[i], 0);//0输入
	}
	XGpioPs_SetDirectionPin(&Ps_gpio_input, mio_in_sw1, 0);//0输入

	while(1){
		//sw1按下时为0,没按的时候为1
		sw1 = XGpioPs_ReadPin(&Ps_gpio_input, mio_in_sw1);
		if(0 == sw1){//sw1按下时4个灯全部点亮
			for(i = 0; i < 4; i++){
				XGpioPs_WritePin(&Ps_gpio_output, mio_out_led[i], 1);
			}
			delay_ms(100);
		}else{
			for(i = 0; i < 4; i++){
				data = XGpioPs_ReadPin(&Ps_gpio_input, mio_in_sw4[i]);

				data = XGpioPs_ReadPin(&Ps_gpio_input, mio_in_sw4[i]);
				XGpioPs_WritePin(&Ps_gpio_output, mio_out_led[i], data);

				data1 = XGpioPs_ReadPin(&Ps_gpio_output, mio_out_led[i]);
				if (data1 != data ) {
					return XST_FAILURE;
				}
				delay_ms(100);
			}
		}
	}
	return XST_SUCCESS;
}
  • 11
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值