simvision1 database和invoke

本文介绍了Verilog仿真中VCD和FSDB文件的生成、格式和用途,包括$dumpfile、$dumpports等命令。还详细阐述了SimVision的SST2数据库,包括设计文件、过渡文件和语句跟踪文件的构成。SimVision支持VCD、HISPICE、Qsim和Epic等格式的数据库转换,并提供了模拟控制、调试和源代码跟踪的功能。文章提到了在使用SimVision时的一些选项和注意事项,如-linedebug、access rwc等。
摘要由CSDN通过智能技术生成

VCD是一种ASCII码的文件,可以直接用gvim来打开。有两种格式:1)Four-state,  2) Extended,

相比较而言,Extended VCD会多一些strength的信息。

VCD文件的产生,在initial的task中调用$dumpfile(file_name); $dumpvars(level, hierarchy1,hierarchy2);

                                                   $dumpoff; $dumpon; $dumpall在VCD文件中创建checkpoint;

                                                   $dumplimit(filesize); $dumpflush;

如果需要产生Extended VCD文件,需要在testcase中调用,$dumpports(scope_list, file_pathname)

                                                   $dumpportsoff/$dumpportson

VCD文件的格式:

VCD文件中可以调用的task有:

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值