cadence xrun仿真器使用

1、使用Simvision。
a、在仿真脚本中设置Simvision选项。
在仿真选项xrun -R下加上tcl脚本控制。

-input  ./dump_wave.tcl -mcdump\

b、编写tcl脚本控制simvision选项。

run 15000ns
database -open waves -into ./wave/waves.shm -default
probe -create -shm -all -depth all
run
exit

第一行run 15000ns表示从仿真时间15000ns开始dump波形。run 0s或者不写就是从仿真时间0开始dump波形。
第二行表示生成波形文件路径及名称。
第三行表示控制波形层次。
c、打开波形。
b simvision -64 &,或者b simvision -64 wave/wave.shm $ 直接打开simvision并加载波形。
2、xrun无license
仿真脚本中在仿真选项加入-licqueue,在提交队列时如果没有license,不会导致提交失败,而是选择一直等待license。
3、denalirc文件
在当前目录下创建.denalirc文件。该文件只在home目录或者当前仿真目录有效。

HistoryFIle denali.his
HistoryDebug On
TraceFile denali.trc
EnableTracker *

第一行表示生成历史文件denali.his。
第二行表示打开debug选项。
第三行表示生成trace文件。
第四行表示使能tracker。
具体可以参考VIP手册。

  • 8
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值